Git Product home page Git Product logo

vivado-boards's Introduction

Vivado Board Files for Digilent FPGA Boards

This repository contains the files used by Vivado IP Integrator to support Digilent system boards. They include board interfaces, preset configurations for the IP that can connect to those interfaces, and the constraints required to connect the pins of those interfaces to physical FPGA pins. Memory Interface Generator (MIG) project files are also included for non-Zynq boards which can be used to configure the Xilinx MIG IP for use with Microblaze systems.

The old folder is for use with Vivado versions 2014.4 and below. The new folder covers Vivado 2015.x and above.

Installation instructions for the new files can be found in Section 3 of the Installing Vivado, Vitis, and Digilent Board Files guide on Digilent Refeernce.

Installation instructions for the old files can be found in the Installing Vivado Board Files for Digilent Boards (Legacy) guide on the Digilent Wiki.

Notes

  • Boards with ChipKit/Arduino headers have the pin locations of CK_IO10 and CK_SS swapped in order to support connection to the Multi-Touch Display Shield. This is not an ideal solution, and may be revised in future. See Issue 5 for more information.

vivado-boards's People

Contributors

alinochis avatar artvvb avatar emb4fun avatar jpeyron avatar monicaignat avatar rjbohnert avatar samklowe avatar sbobrowicz avatar tmcdowe avatar tom21091 avatar vancabogdan avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

vivado-boards's Issues

USING FPGA

Can anyone tell me the complete process and the different ways with steps involved in interfacing a Pmod BLE bluetooth module to a zynq-z7000 zedboard (USING VIVADO) and transmit/receive data through it?

*This is the first time I am working on a FPGA.

Vivado-board tab missing in Vivado 2023.1

I am using the Nexys A7-100T board. In the project property summary, the board is shown installed, but there is no connector.
Also in the Source of the Block Design window, only the source, signal, design tabs are displayed. There is no "board" tab. How do I add the board tab?

Error while C synthesis on Vitis HLS 2020.1 for Arty A7-35T

I have encountered an error when running C synthesis on the Arty A7-35T. There seems to be an extra -i in the board name, which causes Vitis to not find the board's files.

I have reinstalled board files, but it does not fix this issue.

Starting C synthesis ...
F:/Xilinx/Vitis/2020.1/bin/vitis_hls.bat F:/basic_blink_hls/solution1/csynth.tcl
INFO: [HLS 200-10] Running 'F:/Xilinx/Vitis/2020.1/bin/unwrapped/win64.o/vitis_hls.exe'
INFO: [HLS 200-10] For user 'irfan' on host 'dr-irfan' (Windows NT_amd64 version 6.2) on Thu Feb 09 19:55:56 +0530 2023
INFO: [HLS 200-10] In directory 'F:/'
Sourcing Tcl script 'F:/basic_blink_hls/solution1/csynth.tcl'
INFO: [HLS 200-10] Opening project 'F:/basic_blink_hls'.
INFO: [HLS 200-10] Adding design file 'basic_blink_hls/led_blink.c' to the project
INFO: [HLS 200-10] Opening solution 'F:/basic_blink_hls/solution1'.
INFO: [SYN 201-201] Setting up clock 'default' with a period of 10ns.
ERROR: [HLS 200-1023] Part 'xc7a35ticsg324-1L-i' is not installed.
command 'ap_source' returned error code
    while executing
"source F:/basic_blink_hls/solution1/csynth.tcl"
    invoked from within
"hls::main F:/basic_blink_hls/solution1/csynth.tcl"
    ("uplevel" body line 1)
    invoked from within
"uplevel 1 hls::main {*}$args"
    (procedure "hls_proc" line 5)
    invoked from within
"hls_proc $argv"
Finished C synthesis.

new: arty: Find better solution for GPIO/SPI conflict

Currently the GPIO interface for the shield connector connects the pin one would expect to be connected to IO10 to the SS pin on the SPI connector. This is because the SPI interface is now using IO10 for the SS signal in order to be compatible with the Multi touch display shield (and other digilent shields). Switching the 11th pin in the GPIO interface to connect to the SS pin (where the SPI interface SS pin used to be connected) allows both the shield GPIO and shield SPI interfaces to be used in the same design. The main motivation to move forward with this quick fix (commit f8b2758) was to fix the Arty BSD project.

This is not an ideal solution because someone not using the SPI interface but that is using the GPIO interface probably expects the 11th GPIO bit to control IO10, not the SS pin of the SPI connector. I propose we should identify a better solution that fixes this.

Zybo Z7-20: Vivado 2018.3 reports critical warnings in DDR interface

Hello,

Don't know if it's really an issue in the configuration. I'm a newbie with Vivado and ZYNQ devices.
Vivado 2018.3 reports four criticial warnings when I validate the design:

[PSU-1] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 has negative value -0.050 . PS DDR interfaces might fail when entering negative DQS skew values.
[PSU-2] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 has negative value -0.044 . PS DDR interfaces might fail when entering negative DQS skew values.
[PSU-3] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 has negative value -0.035 . PS DDR interfaces might fail when entering negative DQS skew values.
[PSU-4] Parameter : PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 has negative value -0.100 . PS DDR interfaces might fail when entering negative DQS skew values.

Are these values correct and can I ignore these warnings?
.
Thanks in advance!

Arty Z7 Part0_Pins Wrong

For both the Arty Z7-10 and Z7-20, the part0_pins.xml files both have incorrect assignments for IO10 and CK_SS.
F16 is CK_SS, and T16 is IO10, according to XDC file and schematic.
F16 is IO10, and T16 is CK_SS, according to (bad) XML part0_pins.xml files.

Zedboard LEDs not working

The Zedboard LEDs do not work when using the board files on Vivado 2018.2. Following the instructions here, the LEDs do not change when the switches are flipped, as expected. Switching the board to the built in board files, which list Avnet as the distributor instead, everything functions just fine.

I believe this is related to these lines, which set up the LEDs to be connected to tristates. They are not present in the Avnet board files.

Zybo board files error on Ubuntu

Hi there!

I am running Vivado 2021.2 (64-bit) SW Build 3367213 on an Ubuntu 20.04 x86_64 PC and run into the following error message (extracted from vivado.log) when parsing the board_files provided in this Repo:

WARNING: [Board 49-26] cannot add Board Part digilentinc.com:zybo-z7-10:part0:1.0 available at /tools/Xilinx/Vivado/2021.2/data/boards/board_files/zybo-z7-10/A.0/board.xml as part xc7z010clg400-1 specified in board_part file is either invalid or not available

I try to setup a Zybo-Z7-10 board. Sadly the error is the same for all Zybo boards, only the Arty and Spartan boards are available within Vivado.

issues with ZYNQ7 Processing System

when i add new IP...(ZYNQ7 Processing System) i receive warning [BD 41-176] The physical port 'S_AXI_GP2_rd_socket' specified in the portmap, is not found on the block!
I think this is what causes my code to fail.
Is it right? Please help me fix it. Thanks

NetFPGA-1G-CML

Are there official Digilent board files available for the NetFPGA-1G-CML?

Zybo Z7 preset files invalid syntax.

It seems the most recent versions of the zybo z7 preset.xml have some invalid syntax. When running board automation in a new block design the ps7 doesn't have any peripherals mapped. Rolling back to an older version of the preset files seems to make things work again.

Zedboard MDIO on EMIO

Out of the box, Zedboard board files have MDIO on EMIO instead of MIO as board is configured

Arty-s7-25 issue

Vivado 2017.4 WebPack cannot see Arty-s7-25 files but it can see Arty-s7-50 just fine

2015.3 and later

There are some problems with the board files where they don't configure IP blocks correctly. Work is being done to fix this

pynq-z1 board awareness in vivado

I can see the board listed under the list of board when start a new vivado project and select board (instead of part, like in UG871 v2016.4
https://www.xilinx.com/support/documentation/sw_manuals/xilinx2016_4/ug871-vivado-high-level-synthesis-tutorial.pdf

page 222, specify vivado project details), after following the pynq-z1 documentaion (copy the board files to specified folder of vivado path); it works like other xilinx board (like ZC702 eval board).

But, the board is not listed in the drop down list as presets when I do config Zynq AP SOC ( as in the same UG, page 229, like zc702 listed). I did see the preset file in the same board def files (zipped), and even tried to load it (import xps settings).

Without doing it successfully, I can not even run a hello word demo which needs to use UART (aparently, the usb/UART is on the pynq-z1 board).

Any procedure to follow so I Can have the board listed in the drop-down list in the projectsettings and in the presets?
Thanks,

Nexys4 board not showed with Vivado 2019

As the title, I cannot see Nexys 4 board in the list of available boards on Vivado 2019.1.
I've copied the "new\board_files" folder content in the Vivado folder "C:\Xilinx\Vivado\2019.1\data\boards\board_files".

redundant port map in genesys2/board.xml

part of code in board.xml of Genesys2 from Line412 to Line435 is not required. It should be removed?

		  <port_map logical_port="TRI_I" physical_port="led_8bits_tri_o" dir="in" left="7" right="0"> 
            <pin_maps>
              <pin_map port_index="0" component_pin="led_8bits_tri_o_0"/> 
              <pin_map port_index="1" component_pin="led_8bits_tri_o_1"/> 
              <pin_map port_index="2" component_pin="led_8bits_tri_o_2"/> 
              <pin_map port_index="3" component_pin="led_8bits_tri_o_3"/> 
              <pin_map port_index="4" component_pin="led_8bits_tri_o_4"/> 
              <pin_map port_index="5" component_pin="led_8bits_tri_o_5"/> 
              <pin_map port_index="6" component_pin="led_8bits_tri_o_6"/> 
              <pin_map port_index="7" component_pin="led_8bits_tri_o_7"/> 
            </pin_maps>
          </port_map>
		  <port_map logical_port="TRI_O" physical_port="led_8bits_tri_o" dir="out" left="7" right="0"> 
            <pin_maps>
              <pin_map port_index="0" component_pin="led_8bits_tri_o_0"/> 
              <pin_map port_index="1" component_pin="led_8bits_tri_o_1"/> 
              <pin_map port_index="2" component_pin="led_8bits_tri_o_2"/> 
              <pin_map port_index="3" component_pin="led_8bits_tri_o_3"/> 
              <pin_map port_index="4" component_pin="led_8bits_tri_o_4"/> 
              <pin_map port_index="5" component_pin="led_8bits_tri_o_5"/> 
              <pin_map port_index="6" component_pin="led_8bits_tri_o_6"/> 
              <pin_map port_index="7" component_pin="led_8bits_tri_o_7"/> 
            </pin_maps>
          </port_map>

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.