Git Product home page Git Product logo

spinaltemplatesbt's Introduction

About SpinalHDL

SpinalHDL is:

  • A language to describe digital hardware
  • Compatible with EDA tools, as it generates VHDL/Verilog files
  • Much more powerful than VHDL, Verilog, and SystemVerilog in its syntax and features
  • Much less verbose than VHDL, Verilog, and SystemVerilog
  • Not an HLS, nor based on the event-driven paradigm
  • Only generates what you asked it in a one-to-one way (no black-magic, no black box)
  • Not introducing area/performance overheads in your design (versus a hand-written VHDL/Verilog design)
  • Based on the RTL description paradigm, but can go much further
  • Allowing you to use Object-Oriented Programming and Functional Programming to elaborate your hardware and verify it
  • Free and can be used in the industry without any license

Links

English: Join the chat at https://gitter.im/SpinalHDL/SpinalHDL 中文: Join the chat at https://gitter.im/SpinalHDL-CN/community

Get it

SpinalHDL is simply a set of Scala libraries. Include them into your project and you're good to go! If you're unsure about what to do, simply clone one of our example projects (see links above).

SBT (Scala build tool)

scalaVersion := "2.11.12"

libraryDependencies ++= Seq(
  "com.github.spinalhdl" % "spinalhdl-core_2.11" % "latest.release",
  "com.github.spinalhdl" % "spinalhdl-lib_2.11" % "latest.release",
  compilerPlugin("com.github.spinalhdl" % "spinalhdl-idsl-plugin_2.11" % "latest.release")
)

You can force SBT to pick a specific SpinalHDL version by replacing latest.release with a specific version. See the SpinalHDL SBT Template project's build.sbt file for a full SBT example.

Gradle

repositories {
	mavenCentral()
}

dependencies {
	compile group: 'com.github.spinalhdl', name: 'spinalhdl-core_2.11', version: '1.6.4'
	compile group: 'com.github.spinalhdl', name: 'spinalhdl-lib_2.11', version: '1.6.4'
}

Mill(Build Tool)

import mill._
import mill.scalalib._

object MySpinalModule extends ScalaModule {
  def scalaVersion = "2.11.12"

  def ivyDeps = Agg(
    ivy"com.github.spinalhdl::spinalhdl-core:1.6.4",
    ivy"com.github.spinalhdl::spinalhdl-lib:1.6.4",
  )

  def scalacPluginIvyDeps = Agg(ivy"com.github.spinalhdl::spinalhdl-idsl-plugin:1.6.4")
}

JAR

https://oss.sonatype.org/content/groups/public/com/github/spinalhdl/spinalhdl-core_2.11/
https://oss.sonatype.org/content/groups/public/com/github/spinalhdl/spinalhdl-lib_2.11/

The files are available on Maven as well.

Change logs

https://github.com/SpinalHDL/SpinalHDL/tags

License

The SpinalHDL core is using the LGPL3 license while SpinalHDL lib and others are using the MIT license. That's for the formalities. But there are some practical statements implied by those licenses:

Your freedoms are:

  • You can use SpinalHDL core and lib in your closed/commercial projects.
  • The generated RTL is yours (.vhd/.v files)
  • Your hardware description is yours (.scala files)

Your obligations (and my wish) are:

  • If you modify the SpinalHDL core (the compiler itself), please, share your improvements.

Also, SpinalHDL is provided "as is", without warranty of any kind.

spinaltemplatesbt's People

Contributors

azaparov avatar dolu1990 avatar ianboyanzhang avatar name1e5s avatar numero-744 avatar randomreaper avatar tttech-waechter avatar typingartist avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

spinaltemplatesbt's Issues

MyTopLevelSim failed

I ran the sbt run and chose MyTopLevelSim to run, but I got these errors:

In file included from ../verilator/VMyTopLevel__spinalWrapper.cpp:8:
../verilator/VMyTopLevel.h:48:42: error: expected ‘)’ before ‘*’ token
     explicit VMyTopLevel(VerilatedContext* contextp, const char* name = "TOP");
                         ~                ^
                                          )
../verilator/VMyTopLevel.h:70:5: error: ‘VerilatedContext’ does not name a type; did you mean ‘VerilatedMutex’?
     VerilatedContext* contextp() const;
     ^~~~~~~~~~~~~~~~
     VerilatedMutex
In file included from ../verilator/VMyTopLevel__spinalWrapper.cpp:12:
../verilator/VMyTopLevel__Syms.h:32:39: error: expected ‘)’ before ‘*’ token
     VMyTopLevel__Syms(VerilatedContext* contextp, const char* namep, VMyTopLevel* modelp);
                      ~                ^
                                       )
make: *** [VMyTopLevel.mk:77: VMyTopLevel__spinalWrapper.o] Error 1

Thanks for any instructions.

Warning with fresh new template

As I'm working on RTD structure, I'm on the part about using Spinal from VSCodium, so I reproduce things to do a tutorial.

After the (short) configuration, I get a warning about the cells without ✔️. In the tutorial I tell "ignore it", but I would like to know if it is possible to make it not appear?

Tbh I don't know what are these 2 last build targets.

2022-11-17-222409_861x439_scrot

scala file path

why the scala file path must be src/main/scala/mylib/xxx.scala?Is there a way to put it under another path??

IntelliJ IDEA failures

Trying to get started with the SpinalHDL using IntelliJ and I get this error:

Error while importing sbt project:

Java HotSpot(TM) 64-Bit Server VM warning: Ignoring option MaxPermSize; support was removed in 8.0
Getting org.fusesource.jansi jansi 1.11 ...
WARNING: An illegal reflective access operation has occurred
WARNING: Illegal reflective access by org.apache.ivy.util.url.IvyAuthenticator (file:/C:/Users/tomtz/.IntelliJIdea2017.3/config/plugins/Scala/launcher/sbt-launch.jar) to field java.net.Authenticator.theAuthenticator
WARNING: Please consider reporting this to the maintainers of org.apache.ivy.util.url.IvyAuthenticator
WARNING: Use --illegal-access=warn to enable warnings of further illegal reflective access operations
WARNING: All illegal access operations will be denied in a future release
downloading https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar ...
[SUCCESSFUL ] org.fusesource.jansi#jansi;1.11!jansi.jar (219ms)
:: retrieving :: org.scala-sbt#boot-jansi
confs: [default]
1 artifacts copied, 0 already retrieved (111kB/62ms)
Getting org.scala-sbt sbt 0.13.16 ...
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/sbt/0.13.16/jars/sbt.jar ...
[SUCCESSFUL ] org.scala-sbt#sbt;0.13.16!sbt.jar (1288ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.10.6/scala-library-2.10.6.jar ...
[SUCCESSFUL ] org.scala-lang#scala-library;2.10.6!scala-library.jar (1530ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/main/0.13.16/jars/main.jar ...
[SUCCESSFUL ] org.scala-sbt#main;0.13.16!main.jar (4229ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-interface/0.13.16/jars/compiler-interface.jar ...
[SUCCESSFUL ] org.scala-sbt#compiler-interface;0.13.16!compiler-interface.jar (2037ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/actions/0.13.16/jars/actions.jar ...
[SUCCESSFUL ] org.scala-sbt#actions;0.13.16!actions.jar (2736ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/main-settings/0.13.16/jars/main-settings.jar ...
[SUCCESSFUL ] org.scala-sbt#main-settings;0.13.16!main-settings.jar (2499ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/interface/0.13.16/jars/interface.jar ...
[SUCCESSFUL ] org.scala-sbt#interface;0.13.16!interface.jar (2031ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/io/0.13.16/jars/io.jar ...
[SUCCESSFUL ] org.scala-sbt#io;0.13.16!io.jar (2646ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/ivy/0.13.16/jars/ivy.jar ...
[SUCCESSFUL ] org.scala-sbt#ivy;0.13.16!ivy.jar (3468ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/logging/0.13.16/jars/logging.jar ...
[SUCCESSFUL ] org.scala-sbt#logging;0.13.16!logging.jar (2295ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/logic/0.13.16/jars/logic.jar ...
[SUCCESSFUL ] org.scala-sbt#logic;0.13.16!logic.jar (2109ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/process/0.13.16/jars/process.jar ...
[SUCCESSFUL ] org.scala-sbt#process;0.13.16!process.jar (1955ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/run/0.13.16/jars/run.jar ...
[SUCCESSFUL ] org.scala-sbt#run;0.13.16!run.jar (1898ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/command/0.13.16/jars/command.jar ...
[SUCCESSFUL ] org.scala-sbt#command;0.13.16!command.jar (2511ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.0.1/launcher-interface-1.0.1.jar ...
[SUCCESSFUL ] org.scala-sbt#launcher-interface;1.0.1!launcher-interface.jar (224ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/classpath/0.13.16/jars/classpath.jar ...
[SUCCESSFUL ] org.scala-sbt#classpath;0.13.16!classpath.jar (1931ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/completion/0.13.16/jars/completion.jar ...
[SUCCESSFUL ] org.scala-sbt#completion;0.13.16!completion.jar (2389ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/api/0.13.16/jars/api.jar ...
[SUCCESSFUL ] org.scala-sbt#api;0.13.16!api.jar (2270ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-integration/0.13.16/jars/compiler-integration.jar ...
[SUCCESSFUL ] org.scala-sbt#compiler-integration;0.13.16!compiler-integration.jar (2012ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-ivy-integration/0.13.16/jars/compiler-ivy-integration.jar ...
[SUCCESSFUL ] org.scala-sbt#compiler-ivy-integration;0.13.16!compiler-ivy-integration.jar (1789ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/relation/0.13.16/jars/relation.jar ...
[SUCCESSFUL ] org.scala-sbt#relation;0.13.16!relation.jar (1566ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/task-system/0.13.16/jars/task-system.jar ...
[SUCCESSFUL ] org.scala-sbt#task-system;0.13.16!task-system.jar (1941ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/tasks/0.13.16/jars/tasks.jar ...
[SUCCESSFUL ] org.scala-sbt#tasks;0.13.16!tasks.jar (1805ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/tracking/0.13.16/jars/tracking.jar ...
[SUCCESSFUL ] org.scala-sbt#tracking;0.13.16!tracking.jar (1801ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/testing/0.13.16/jars/testing.jar ...
[SUCCESSFUL ] org.scala-sbt#testing;0.13.16!testing.jar (1572ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.10.6/scala-compiler-2.10.6.jar ...
[SUCCESSFUL ] org.scala-lang#scala-compiler;2.10.6!scala-compiler.jar (2357ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.10.6/scala-reflect-2.10.6.jar ...
[SUCCESSFUL ] org.scala-lang#scala-reflect;2.10.6!scala-reflect.jar (585ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/control/0.13.16/jars/control.jar ...
[SUCCESSFUL ] org.scala-sbt#control;0.13.16!control.jar (1880ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/collections/0.13.16/jars/collections.jar ...
[SUCCESSFUL ] org.scala-sbt#collections;0.13.16!collections.jar (2498ms)
downloading https://repo1.maven.org/maven2/jline/jline/2.14.4/jline-2.14.4.jar ...
[SUCCESSFUL ] jline#jline;2.14.4!jline.jar (156ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/classfile/0.13.16/jars/classfile.jar ...
[SUCCESSFUL ] org.scala-sbt#classfile;0.13.16!classfile.jar (1835ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/incremental-compiler/0.13.16/jars/incremental-compiler.jar ...
[SUCCESSFUL ] org.scala-sbt#incremental-compiler;0.13.16!incremental-compiler.jar (2332ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compile/0.13.16/jars/compile.jar ...
[SUCCESSFUL ] org.scala-sbt#compile;0.13.16!compile.jar (2071ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/persist/0.13.16/jars/persist.jar ...
[SUCCESSFUL ] org.scala-sbt#persist;0.13.16!persist.jar (1878ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-tools.sbinary/sbinary_2.10/0.4.2/jars/sbinary_2.10.jar ...
[SUCCESSFUL ] org.scala-tools.sbinary#sbinary_2.10;0.4.2!sbinary_2.10.jar (1829ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/cross/0.13.16/jars/cross.jar ...
[SUCCESSFUL ] org.scala-sbt#cross;0.13.16!cross.jar (1581ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-48dd0744422128446aee9ac31aa356ee203cc9f4/ivy-2.3.0-sbt-48dd0744422128446aee9ac31aa356ee203cc9f4.jar ...
[SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-48dd0744422128446aee9ac31aa356ee203cc9f4!ivy.jar (566ms)
downloading https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.50/jsch-0.1.50.jar ...
[SUCCESSFUL ] com.jcraft#jsch;0.1.50!jsch.jar (172ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/serialization_2.10/0.1.2/serialization_2.10-0.1.2.jar ...
[SUCCESSFUL ] org.scala-sbt#serialization_2.10;0.1.2!serialization_2.10.jar (172ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pickling_2.10/0.10.1/scala-pickling_2.10-0.10.1.jar ...
[SUCCESSFUL ] org.scala-lang.modules#scala-pickling_2.10;0.10.1!scala-pickling_2.10.jar (247ms)
downloading https://repo1.maven.org/maven2/org/json4s/json4s-core_2.10/3.2.10/json4s-core_2.10-3.2.10.jar ...
[SUCCESSFUL ] org.json4s#json4s-core_2.10;3.2.10!json4s-core_2.10.jar (219ms)
downloading https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.10/0.6.0/jawn-parser_2.10-0.6.0.jar ...
[SUCCESSFUL ] org.spire-math#jawn-parser_2.10;0.6.0!jawn-parser_2.10.jar (131ms)
downloading https://repo1.maven.org/maven2/org/spire-math/json4s-support_2.10/0.6.0/json4s-support_2.10-0.6.0.jar ...
[SUCCESSFUL ] org.spire-math#json4s-support_2.10;0.6.0!json4s-support_2.10.jar (163ms)
downloading https://repo1.maven.org/maven2/org/scalamacros/quasiquotes_2.10/2.0.1/quasiquotes_2.10-2.0.1.jar ...
[SUCCESSFUL ] org.scalamacros#quasiquotes_2.10;2.0.1!quasiquotes_2.10.jar (230ms)
downloading https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.10/3.2.10/json4s-ast_2.10-3.2.10.jar ...
[SUCCESSFUL ] org.json4s#json4s-ast_2.10;3.2.10!json4s-ast_2.10.jar (136ms)
downloading https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.6/paranamer-2.6.jar ...
[SUCCESSFUL ] com.thoughtworks.paranamer#paranamer;2.6!paranamer.jar (125ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/cache/0.13.16/jars/cache.jar ...
[SUCCESSFUL ] org.scala-sbt#cache;0.13.16!cache.jar (1631ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/test-agent/0.13.16/jars/test-agent.jar ...
[SUCCESSFUL ] org.scala-sbt#test-agent;0.13.16!test-agent.jar (1520ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar ...
[SUCCESSFUL ] org.scala-sbt#test-interface;1.0!test-interface.jar (135ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/apply-macro/0.13.16/jars/apply-macro.jar ...
[SUCCESSFUL ] org.scala-sbt#apply-macro;0.13.16!apply-macro.jar (1560ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar ...
[SUCCESSFUL ] org.scala-sbt#template-resolver;0.1!template-resolver.jar (129ms)
:: retrieving :: org.scala-sbt#boot-app
confs: [default]
49 artifacts copied, 0 already retrieved (17616kB/183ms)
Getting Scala 2.10.6 (for sbt)...
downloading https://repo1.maven.org/maven2/org/scala-lang/jline/2.10.6/jline-2.10.6.jar ...
[SUCCESSFUL ] org.scala-lang#jline;2.10.6!jline.jar (175ms)
downloading https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.4/jansi-1.4.jar ...
[SUCCESSFUL ] org.fusesource.jansi#jansi;1.4!jansi.jar (160ms)
:: retrieving :: org.scala-sbt#boot-scala
confs: [default]
5 artifacts copied, 0 already retrieved (24494kB/62ms)
error: error while loading package, Missing dependency 'object java.lang.Object in compiler mirror', required by C:\Users\tomtz.sbt\boot\scala-2.10.6\lib\scala-library.jar(scala/package.class)
error: error while loading package, Missing dependency 'object java.lang.Object in compiler mirror', required by C:\Users\tomtz.sbt\boot\scala-2.10.6\lib\scala-library.jar(scala/runtime/package.class)
scala.reflect.internal.MissingRequirementError: object java.lang.Object in compiler mirror not found.
at scala.reflect.internal.MissingRequirementError$.signal(MissingRequirementError.scala:16)
at scala.reflect.internal.MissingRequirementError$.notFound(MissingRequirementError.scala:17)
at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:48)
at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:40)
at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:40)
at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:61)
at scala.reflect.internal.Mirrors$RootsBase.getClassByName(Mirrors.scala:99)
at scala.reflect.internal.Mirrors$RootsBase.getRequiredClass(Mirrors.scala:102)
at scala.reflect.internal.Definitions$DefinitionsClass.ObjectClass$lzycompute(Definitions.scala:264)
at scala.reflect.internal.Definitions$DefinitionsClass.ObjectClass(Definitions.scala:264)
at scala.reflect.internal.Definitions$DefinitionsClass.AnyRefClass$lzycompute(Definitions.scala:263)
at scala.reflect.internal.Definitions$DefinitionsClass.AnyRefClass(Definitions.scala:263)
at scala.reflect.internal.Definitions$DefinitionsClass.specialPolyClass(Definitions.scala:1120)
at scala.reflect.internal.Definitions$DefinitionsClass.RepeatedParamClass$lzycompute(Definitions.scala:407)
at scala.reflect.internal.Definitions$DefinitionsClass.RepeatedParamClass(Definitions.scala:407)
at scala.reflect.internal.Definitions$DefinitionsClass.syntheticCoreClasses$lzycompute(Definitions.scala:1154)
at scala.reflect.internal.Definitions$DefinitionsClass.syntheticCoreClasses(Definitions.scala:1152)
at scala.reflect.internal.Definitions$DefinitionsClass.symbolsNotPresentInBytecode$lzycompute(Definitions.scala:1196)
at scala.reflect.internal.Definitions$DefinitionsClass.symbolsNotPresentInBytecode(Definitions.scala:1196)
at scala.reflect.internal.Definitions$DefinitionsClass.init(Definitions.scala:1261)
at scala.tools.nsc.Global$Run.(Global.scala:1290)
at sbt.compiler.Eval$$anon$1.(Eval.scala:141)
at sbt.compiler.Eval.run$lzycompute$1(Eval.scala:141)
at sbt.compiler.Eval.run$1(Eval.scala:141)
at sbt.compiler.Eval.unlinkAll$1(Eval.scala:144)
at sbt.compiler.Eval.evalCommon(Eval.scala:153)
at sbt.compiler.Eval.eval(Eval.scala:96)
at sbt.EvaluateConfigurations$.evaluateDslEntry(EvaluateConfigurations.scala:177)
at sbt.EvaluateConfigurations$$anonfun$9.apply(EvaluateConfigurations.scala:117)
at sbt.EvaluateConfigurations$$anonfun$9.apply(EvaluateConfigurations.scala:115)
at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
at scala.collection.immutable.List.foreach(List.scala:318)
at scala.collection.TraversableLike$class.map(TraversableLike.scala:244)
at scala.collection.AbstractTraversable.map(Traversable.scala:105)
at sbt.EvaluateConfigurations$.evaluateSbtFile(EvaluateConfigurations.scala:115)
at sbt.Load$.sbt$Load$$loadSettingsFile$1(Load.scala:775)
at sbt.Load$$anonfun$sbt$Load$$memoLoadSettingsFile$1$1.apply(Load.scala:781)
at sbt.Load$$anonfun$sbt$Load$$memoLoadSettingsFile$1$1.apply(Load.scala:780)
at scala.collection.MapLike$class.getOrElse(MapLike.scala:128)
at scala.collection.AbstractMap.getOrElse(Map.scala:58)
at sbt.Load$.sbt$Load$$memoLoadSettingsFile$1(Load.scala:780)
at sbt.Load$$anonfun$loadFiles$1$2.apply(Load.scala:788)
at sbt.Load$$anonfun$loadFiles$1$2.apply(Load.scala:788)
at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:47)
at scala.collection.TraversableLike$class.map(TraversableLike.scala:244)
at scala.collection.AbstractTraversable.map(Traversable.scala:105)
at sbt.Load$.loadFiles$1(Load.scala:788)
at sbt.Load$.discoverProjects(Load.scala:799)
at sbt.Load$.discover$1(Load.scala:585)
at sbt.Load$.sbt$Load$$loadTransitive(Load.scala:633)
at sbt.Load$$anonfun$loadUnit$1.sbt$Load$$anonfun$$loadProjects$1(Load.scala:482)
at sbt.Load$$anonfun$loadUnit$1$$anonfun$40.apply(Load.scala:485)
at sbt.Load$$anonfun$loadUnit$1$$anonfun$40.apply(Load.scala:485)
at sbt.Load$.timed(Load.scala:1025)
at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:485)
at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:459)
at sbt.Load$.timed(Load.scala:1025)
at sbt.Load$.loadUnit(Load.scala:459)
at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:311)
at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:310)
at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:91)
at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:90)
at sbt.BuildLoader.apply(BuildLoader.scala:140)
at sbt.Load$.loadAll(Load.scala:365)
at sbt.Load$.loadURI(Load.scala:320)
at sbt.Load$.load(Load.scala:316)
at sbt.Load$.load(Load.scala:305)
at sbt.Load$$anonfun$4.apply(Load.scala:146)
at sbt.Load$$anonfun$4.apply(Load.scala:146)
at sbt.Load$.timed(Load.scala:1025)
at sbt.Load$.apply(Load.scala:146)
at sbt.Load$.buildPluginDefinition(Load.scala:886)
at sbt.Load$.buildPlugins(Load.scala:852)
at sbt.Load$.plugins(Load.scala:840)
at sbt.Load$$anonfun$loadUnit$1$$anonfun$34.apply(Load.scala:465)
at sbt.Load$$anonfun$loadUnit$1$$anonfun$34.apply(Load.scala:465)
at sbt.Load$.timed(Load.scala:1025)
at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:464)
at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:459)
at sbt.Load$.timed(Load.scala:1025)
at sbt.Load$.loadUnit(Load.scala:459)
at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:311)
at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:310)
at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:91)
at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:90)
at sbt.BuildLoader.apply(BuildLoader.scala:140)
at sbt.Load$.loadAll(Load.scala:365)
at sbt.Load$.loadURI(Load.scala:320)
at sbt.Load$.load(Load.scala:316)
at sbt.Load$.load(Load.scala:305)
at sbt.Load$$anonfun$4.apply(Load.scala:146)
at sbt.Load$$anonfun$4.apply(Load.scala:146)
at sbt.Load$.timed(Load.scala:1025)
at sbt.Load$.apply(Load.scala:146)
at sbt.Load$.defaultLoad(Load.scala:39)
at sbt.BuiltinCommands$.liftedTree1$1(Main.scala:503)
at sbt.BuiltinCommands$.doLoadProject(Main.scala:503)
at sbt.BuiltinCommands$$anonfun$loadProjectImpl$2.apply(Main.scala:495)
at sbt.BuiltinCommands$$anonfun$loadProjectImpl$2.apply(Main.scala:495)
at sbt.Command$$anonfun$applyEffect$1$$anonfun$apply$2.apply(Command.scala:59)
at sbt.Command$$anonfun$applyEffect$1$$anonfun$apply$2.apply(Command.scala:59)
at sbt.Command$$anonfun$applyEffect$2$$anonfun$apply$3.apply(Command.scala:61)
at sbt.Command$$anonfun$applyEffect$2$$anonfun$apply$3.apply(Command.scala:61)
at sbt.Command$.process(Command.scala:93)
at sbt.MainLoop$$anonfun$1$$anonfun$apply$1.apply(MainLoop.scala:96)
at sbt.MainLoop$$anonfun$1$$anonfun$apply$1.apply(MainLoop.scala:96)
at sbt.State$$anon$1.runCmd$1(State.scala:183)
at sbt.State$$anon$1.process(State.scala:187)
at sbt.MainLoop$$anonfun$1.apply(MainLoop.scala:96)
at sbt.MainLoop$$anonfun$1.apply(MainLoop.scala:96)
at sbt.ErrorHandling$.wideConvert(ErrorHandling.scala:17)
at sbt.MainLoop$.next(MainLoop.scala:96)
at sbt.MainLoop$.run(MainLoop.scala:89)
at sbt.MainLoop$$anonfun$runWithNewLog$1.apply(MainLoop.scala:68)
at sbt.MainLoop$$anonfun$runWithNewLog$1.apply(MainLoop.scala:63)
at sbt.Using.apply(Using.scala:24)
at sbt.MainLoop$.runWithNewLog(MainLoop.scala:63)
at sbt.MainLoop$.runAndClearLast(MainLoop.scala:46)
at sbt.MainLoop$.runLoggedLoop(MainLoop.scala:30)
at sbt.MainLoop$.runLogged(MainLoop.scala:22)
at sbt.StandardMain$.runManaged(Main.scala:61)
at sbt.xMain.run(Main.scala:35)
at xsbt.boot.Launch$$anonfun$run$1.apply(Launch.scala:109)
at xsbt.boot.Launch$.withContextLoader(Launch.scala:128)
at xsbt.boot.Launch$.run(Launch.scala:109)
at xsbt.boot.Launch$$anonfun$apply$1.apply(Launch.scala:35)
at xsbt.boot.Launch$.launch(Launch.scala:117)
at xsbt.boot.Launch$.apply(Launch.scala:18)
at xsbt.boot.Boot$.runImpl(Boot.scala:41)
at xsbt.boot.Boot$.main(Boot.scala:17)
at xsbt.boot.Boot.main(Boot.scala)
[error] scala.reflect.internal.MissingRequirementError: object java.lang.Object in compiler mirror not found.
[error] Use 'last' for the full log.
Invalid response.

Haven't done anything with Scala yet so starting pains as I have no idea what all of this means.

Please advise.

Formal verifiation: SymbiYosys of SpinalTemplateSbt fails with "RG_WIDTH > 1 is not support by async2sync, use clk2fflogic." when using async reset

SpinalHDL: 1.10.1
Scala version: 2.12.18
sbt version: 1.9.8
SymbiYosys version: Giit 19.02.204

Problem:

  • when using a async reset in your design you generate Verilog like "always @(posedge clk or posedge reset) begin"
  • SymbiYosys "async2sync" chokes on designs with multiple asynchronous clocks or a async reset signal

Fix:

  • this is fixed by adding the configuration option "multiclock on" in the .sby configuration file
  • Pull request: #38

SymbiYosys logfile:

SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] Removing directory '/home/jschiefer/Code/SpinalTemplateSbt/simWorkspace/unamed/unamed_bmc'.
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] Copy '/home/jschiefer/Code/SpinalTemplateSbt/simWorkspace/unamed/rtl/unamed.sv' to '/home/jschiefer/Code/SpinalTemplateSbt/simWorkspace/unamed/unamed_bmc/src/unamed.sv'.
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] engine_0: smtbmc --progress yices
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] base: starting process "cd /home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc/src; yosys -ql ../model/design.log ../model/design.ys"
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] base: finished (returncode=0)
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] prep: starting process "cd /home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc/model; yosys -ql design_prep.log design_prep.ys"
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] prep: ERROR: $check cell $assert$unamed.sv:41$5 with TRG_WIDTH > 1 is not support by async2sync, use clk2fflogic.
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] prep: finished (returncode=1)
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] prep: task failed. ERROR.
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] summary: Elapsed clock time [H:MM:SS (secs)]: 0:00:00 (0)
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] summary: Elapsed process time [H:MM:SS (secs)]: 0:00:00 (0)
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] summary: engine_0 (smtbmc --progress yices) did not return a status
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] summary: engine_0 did not produce any traces
SBY  1:11:48 [/home/jschiefer/Code/SpinalTemplateSbt/./simWorkspace/unamed/unamed_bmc] DONE (ERROR, rc=16)

No more VHDL?

Hi Dolu,
Long time :)
Seems like SpinalHDL has moved to Verilog?
Is there a way to use this in VHDL?

image

Better formatting

@Dolu1990 convinced me that even if SpinalHDL enables the user to abstract the wires, sometimes the user wants to manipulate wire-by-wire so aligning stuff would be great.

In https://github.com/numero-744/Aes there is a repository fully auto-formatted using scalafmt, with alignments (=, :=, #= and port), using port syntax for better rendering.

Also, I have added a few idioms as VSCode snippets, I wrote the full snippets as comments in the files where I would use them, but don't forget it is even shorter with auto-formatting 😉

See the readme of the repository for more information.

I'll open a PR to merge some stuff from that repository once Spinal 1.8 has been released with the port notation. Before that, comments appreciated 😃

PS: We could also merge some stuff in SpinalHDL itself?

Add tests in the structure

FYI https://github.com/numero-744/Aes has been updated; part of its contents could be put in the template:

  • add tb/ folder for Test (not released contents) with sub-directories like for hw/
  • add HTML report generation with scalatest
  • works with both App and SpinalTestBench (in its current state, changes will occur)
  • tested with mill

See the end of the readme with an IDE screenshot and the resulting directory structure with important things highlighted in blue on the left.

MyTopLevelSim Failure on Windows

Trying to run the template project on Windows 10, and I am able to successfully compile the Spinal code into VHDL or Verilog, but running the simulation testbench causes failures.

Here is a snippet from the output describing the failure:

[info] [Progress] at 0.190 :   emit MyTopLevel
[info] [Info] Number of registers : 8
[info] [Done] at 0.246
[info] [Progress] Simulation workspace in D:\projects\spinal\SpinalTemplateSbt\.\simWorkspace\MyTopLevel
[info] [Progress] Verilator compilation started
[error] Exception in thread "main" java.io.FileNotFoundException: Source 'C:\Program Files\Java1.8.0_181\include' does not exist
[error]         at org.apache.commons.io.FileUtils.copyDirectory(FileUtils.java:1368)
[error]         at org.apache.commons.io.FileUtils.copyDirectory(FileUtils.java:1261)
[error]         at org.apache.commons.io.FileUtils.copyDirectory(FileUtils.java:1230)
[error]         at spinal.sim.VerilatorBackend.compileVerilator(VerilatorBackend.scala:322)
[error]         at spinal.sim.VerilatorBackend.<init>(VerilatorBackend.scala:405)
[error]         at spinal.core.sim.SpinalVerilatorBackend$.apply(SimBootstraps.scala:117)
[error]         at spinal.core.sim.SpinalSimConfig.compile(SimBootstraps.scala:374)
[error]         at spinal.core.sim.SpinalSimConfig.compile(SimBootstraps.scala:338)
[error]         at spinal.core.sim.SpinalSimConfig.doSim(SimBootstraps.scala:325)
[error]         at mylib.MyTopLevelSim$.main(MyTopLevelSim.scala:13)
[error]         at mylib.MyTopLevelSim.main(MyTopLevelSim.scala)
java.lang.RuntimeException: Nonzero exit code returned from runner: 1
        at scala.sys.package$.error(package.scala:27)
[trace] Stack trace suppressed: run last compile:runMain for the full output.
[error] (compile:runMain) Nonzero exit code returned from runner: 1
[error] Total time: 9 s, completed Sep 12, 2018 10:45:42 PM

It seems to successfully generate the verilog code from the module, but then fails to compile it through verilator, but in a way related to Java (Source 'C:\Program Files\Java1.8.0_181\include' does not exist), which seems odd.

Some information about the system is included below:

  • Windows 10
  • JDK and JRE 8u181 both installed (in directory C:\Program Files\Java\jdk1.80_181, so the error up above seems to have an incorrect path)
  • SBT installed, version 1.2.1 installed (it uses 0.13.16 for the template)
  • Verilator installed through prebuilt MinGW binaries (version 3.841)

Let me know if there is any additional info that would help diagnose what may be going on here.

sbt run fail on standalone server

I am trying to compile SpinalTemplateSbt using SBT way on standalone server, but failed when i try "sbt run". the log as following,

[zyy@zyy-pc SpinalTemplateSbt]$ sbt run
[info] welcome to sbt 1.6.0 (Red Hat, Inc. Java 1.8.0_322)
[info] loading settings for project spinaltemplatesbt-build from plugins.sbt ...
[info] loading project definition from /home/zyy/prj/SpinalTemplateSbt/project
[info] loading settings for project mylib from build.sbt ...
[info] set current project to SpinalTemplateSbt (in build file:/home/zyy/prj/SpinalTemplateSbt/)
[info] compiling 3 Scala sources to /home/zyy/prj/SpinalTemplateSbt/target/scala-2.12/classes ...
[info] Attempting to fetch org.scala-sbt:compiler-bridge_2.12:1.6.0.
[info] Updating 
[info] Resolved  dependencies
[error] ## Exception when compiling 3 sources to /home/zyy/prj/SpinalTemplateSbt/target/scala-2.12/classes
[error] sbt.internal.inc.InvalidComponent: The compiler bridge sources CoursierModuleDescriptor(ModuleDescriptorConfiguration(false, None, org.scala-sbt.temp:temp-module-98ec89c315ed408f615fff2c4774286d17323b01:1.6.0:compile, ModuleInfo(temp-module-98ec89c315ed408f615fff2c4774286d17323b01, , None, None, Vector(), , None, None, Vector()), Vector(org.scala-sbt:compiler-bridge_2.12:1.6.0:compile), Vector(), Vector(), , Vector(compile, runtime, test, provided, optional), Some(compile), ConflictManager(latest-revision, *, *)),CoursierConfiguration(Some(sbt.internal.util.ManagedLogger@73afdee), Vector(Raw(ProjectResolver(inter-project, mapped: )), public: https://repo1.maven.org/maven2/, FileRepository(local, Patterns(ivyPatterns=Vector(/home/zyy/.ivy2/local/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(/home/zyy/.ivy2/local/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), FileConfiguration(true, None)), URLRepository(sbt-plugin-releases, Patterns(ivyPatterns=Vector(https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), URLRepository(typesafe-alt-ivy-releases, Patterns(ivyPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), sbt-maven-releases: https://repo.scala-sbt.org/scalasbt/maven-releases/, sbt-maven-snapshots: https://repo.scala-sbt.org/scalasbt/maven-snapshots/, URLRepository(typesafe-ivy-releases, Patterns(ivyPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), URLRepository(sbt-ivy-snapshots, Patterns(ivyPatterns=Vector(https://repo.scala-sbt.org/scalasbt/ivy-snapshots/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.scala-sbt.org/scalasbt/ivy-snapshots/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false)), 6, 100, Some(org.scala-lang), Some(2.12.15), Vector(/home/zyy/.sbt/boot/scala-2.12.15/lib/scala-xml_2.12.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-reflect.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-compiler.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-library.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/jline.jar), Vector(), Vector(), Vector(), true, false, Vector(), Vector(), Some(org.scala-lang), Some(2.12.16), Vector(), Vector(), None, Some(/home/zyy/.cache/coursier/v1), Some(/home/zyy/.ivy2), None, None, Vector(), Vector(), Vector((ModuleMatchers(Set(), Set(), true),Relaxed)), true, 0, Some(24 hours), Vector(Some(SHA-1), None), Vector(LocalUpdateChanging, LocalOnly, Update), false, false, false, Vector())) could not be retrieved
[error] 
[error] 	Note: Unresolved dependencies path:
[error] sbt.internal.inc.ZincLMHelper$.update(ZincComponentCompiler.scala:308)
[error] sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$3(ZincComponentCompiler.scala:264)
[error] sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$3$adapted(ZincComponentCompiler.scala:257)
[error] sbt.io.IO$.withTemporaryDirectory(IO.scala:490)
[error] sbt.io.IO$.withTemporaryDirectory(IO.scala:500)
[error] sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$2(ZincComponentCompiler.scala:257)
[error] scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:23)
[error] sbt.internal.util.BufferedLogger.bufferQuietly(BufferedLogger.scala:159)
[error] sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$1(ZincComponentCompiler.scala:257)
[error] sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$1$adapted(ZincComponentCompiler.scala:254)
[error] sbt.io.IO$.withTemporaryDirectory(IO.scala:490)
[error] sbt.io.IO$.withTemporaryDirectory(IO.scala:500)
[error] sbt.internal.inc.ZincComponentCompiler.compileAndInstall(ZincComponentCompiler.scala:254)
[error] sbt.internal.inc.ZincComponentCompiler.$anonfun$compiledBridgeJar$1(ZincComponentCompiler.scala:222)
[error] sbt.internal.inc.IfMissing$Define.run(IfMissing.scala:19)
[error] sbt.internal.inc.ZincComponentManager.createAndCache$1(ZincComponentManager.scala:51)
[error] sbt.internal.inc.ZincComponentManager.$anonfun$files$3(ZincComponentManager.scala:62)
[error] sbt.internal.inc.ZincComponentManager.getOrElse$1(ZincComponentManager.scala:43)
[error] sbt.internal.inc.ZincComponentManager.$anonfun$files$2(ZincComponentManager.scala:62)
[error] sbt.internal.inc.ZincComponentManager$$anon$1.call(ZincComponentManager.scala:91)
[error] xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:95)
[error] xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:80)
[error] xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:99)
[error] xsbt.boot.Using$.withResource(Using.scala:10)
[error] xsbt.boot.Using$.apply(Using.scala:9)
[error] xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:60)
[error] xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:50)
[error] xsbt.boot.Locks$.apply0(Locks.scala:31)
[error] xsbt.boot.Locks$.apply(Locks.scala:28)
[error] sbt.internal.inc.ZincComponentManager.lock(ZincComponentManager.scala:91)
[error] sbt.internal.inc.ZincComponentManager.$anonfun$lockSecondaryCache$1(ZincComponentManager.scala:88)
[error] scala.Option.map(Option.scala:230)
[error] sbt.internal.inc.ZincComponentManager.lockSecondaryCache(ZincComponentManager.scala:88)
[error] sbt.internal.inc.ZincComponentManager.fromSecondary$1(ZincComponentManager.scala:60)
[error] sbt.internal.inc.ZincComponentManager.$anonfun$files$6(ZincComponentManager.scala:66)
[error] sbt.internal.inc.ZincComponentManager.getOrElse$1(ZincComponentManager.scala:43)
[error] sbt.internal.inc.ZincComponentManager.$anonfun$files$5(ZincComponentManager.scala:66)
[error] sbt.internal.inc.ZincComponentManager$$anon$1.call(ZincComponentManager.scala:91)
[error] xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:95)
[error] xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:80)
[error] xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:99)
[error] xsbt.boot.Using$.withResource(Using.scala:10)
[error] xsbt.boot.Using$.apply(Using.scala:9)
[error] xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:60)
[error] xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:50)
[error] xsbt.boot.Locks$.apply0(Locks.scala:31)
[error] xsbt.boot.Locks$.apply(Locks.scala:28)
[error] sbt.internal.inc.ZincComponentManager.lock(ZincComponentManager.scala:91)
[error] sbt.internal.inc.ZincComponentManager.lockLocalCache(ZincComponentManager.scala:84)
[error] sbt.internal.inc.ZincComponentManager.files(ZincComponentManager.scala:66)
[error] sbt.internal.inc.ZincComponentManager.file(ZincComponentManager.scala:72)
[error] sbt.internal.inc.ZincComponentCompiler.compiledBridgeJar(ZincComponentCompiler.scala:222)
[error] sbt.internal.inc.ZincComponentCompiler$ZincCompilerBridgeProvider.compiledBridge(ZincComponentCompiler.scala:63)
[error] sbt.internal.inc.ZincComponentCompiler$ZincCompilerBridgeProvider.fetchCompiledBridge(ZincComponentCompiler.scala:70)
[error] sbt.internal.inc.AnalyzingCompiler.getDualLoader(AnalyzingCompiler.scala:354)
[error] sbt.internal.inc.AnalyzingCompiler.getCompilerLoader(AnalyzingCompiler.scala:343)
[error] sbt.internal.inc.AnalyzingCompiler.compile(AnalyzingCompiler.scala:87)
[error] sbt.internal.inc.MixedAnalyzingCompiler.$anonfun$compile$7(MixedAnalyzingCompiler.scala:192)
[error] scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:23)
[error] sbt.internal.inc.MixedAnalyzingCompiler.timed(MixedAnalyzingCompiler.scala:247)
[error] sbt.internal.inc.MixedAnalyzingCompiler.$anonfun$compile$4(MixedAnalyzingCompiler.scala:182)
[error] sbt.internal.inc.MixedAnalyzingCompiler.$anonfun$compile$4$adapted(MixedAnalyzingCompiler.scala:163)
[error] sbt.internal.inc.JarUtils$.withPreviousJar(JarUtils.scala:239)
[error] sbt.internal.inc.MixedAnalyzingCompiler.compileScala$1(MixedAnalyzingCompiler.scala:163)
[error] sbt.internal.inc.MixedAnalyzingCompiler.compile(MixedAnalyzingCompiler.scala:210)
[error] sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileInternal$1(IncrementalCompilerImpl.scala:528)
[error] sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileInternal$1$adapted(IncrementalCompilerImpl.scala:528)
[error] sbt.internal.inc.Incremental$.$anonfun$apply$5(Incremental.scala:177)
[error] sbt.internal.inc.Incremental$.$anonfun$apply$5$adapted(Incremental.scala:175)
[error] sbt.internal.inc.Incremental$$anon$2.run(Incremental.scala:461)
[error] sbt.internal.inc.IncrementalCommon$CycleState.next(IncrementalCommon.scala:116)
[error] sbt.internal.inc.IncrementalCommon$$anon$1.next(IncrementalCommon.scala:56)
[error] sbt.internal.inc.IncrementalCommon$$anon$1.next(IncrementalCommon.scala:52)
[error] sbt.internal.inc.IncrementalCommon.cycle(IncrementalCommon.scala:263)
[error] sbt.internal.inc.Incremental$.$anonfun$incrementalCompile$8(Incremental.scala:416)
[error] sbt.internal.inc.Incremental$.withClassfileManager(Incremental.scala:503)
[error] sbt.internal.inc.Incremental$.incrementalCompile(Incremental.scala:403)
[error] sbt.internal.inc.Incremental$.apply(Incremental.scala:169)
[error] sbt.internal.inc.IncrementalCompilerImpl.compileInternal(IncrementalCompilerImpl.scala:528)
[error] sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileIncrementally$1(IncrementalCompilerImpl.scala:482)
[error] sbt.internal.inc.IncrementalCompilerImpl.handleCompilationError(IncrementalCompilerImpl.scala:332)
[error] sbt.internal.inc.IncrementalCompilerImpl.compileIncrementally(IncrementalCompilerImpl.scala:420)
[error] sbt.internal.inc.IncrementalCompilerImpl.compile(IncrementalCompilerImpl.scala:137)
[error] sbt.Defaults$.compileIncrementalTaskImpl(Defaults.scala:2366)
[error] sbt.Defaults$.$anonfun$compileIncrementalTask$2(Defaults.scala:2316)
[error] sbt.internal.server.BspCompileTask$.$anonfun$compute$1(BspCompileTask.scala:30)
[error] sbt.internal.io.Retry$.apply(Retry.scala:46)
[error] sbt.internal.io.Retry$.apply(Retry.scala:28)
[error] sbt.internal.io.Retry$.apply(Retry.scala:23)
[error] sbt.internal.server.BspCompileTask$.compute(BspCompileTask.scala:30)
[error] sbt.Defaults$.$anonfun$compileIncrementalTask$1(Defaults.scala:2314)
[error] scala.Function1.$anonfun$compose$1(Function1.scala:49)
[error] sbt.internal.util.$tilde$greater.$anonfun$$u2219$1(TypeFunctions.scala:62)
[error] sbt.std.Transform$$anon$4.work(Transform.scala:68)
[error] sbt.Execute.$anonfun$submit$2(Execute.scala:282)
[error] sbt.internal.util.ErrorHandling$.wideConvert(ErrorHandling.scala:23)
[error] sbt.Execute.work(Execute.scala:291)
[error] sbt.Execute.$anonfun$submit$1(Execute.scala:282)
[error] sbt.ConcurrentRestrictions$$anon$4.$anonfun$submitValid$1(ConcurrentRestrictions.scala:265)
[error] sbt.CompletionService$$anon$2.call(CompletionService.scala:64)
[error] java.util.concurrent.FutureTask.run(FutureTask.java:266)
[error] java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:511)
[error] java.util.concurrent.FutureTask.run(FutureTask.java:266)
[error] java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1149)
[error] java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:624)
[error] java.lang.Thread.run(Thread.java:750)
[error]            
[error] sbt.internal.inc.InvalidComponent: The compiler bridge sources CoursierModuleDescriptor(ModuleDescriptorConfiguration(false, None, org.scala-sbt.temp:temp-module-98ec89c315ed408f615fff2c4774286d17323b01:1.6.0:compile, ModuleInfo(temp-module-98ec89c315ed408f615fff2c4774286d17323b01, , None, None, Vector(), , None, None, Vector()), Vector(org.scala-sbt:compiler-bridge_2.12:1.6.0:compile), Vector(), Vector(), , Vector(compile, runtime, test, provided, optional), Some(compile), ConflictManager(latest-revision, *, *)),CoursierConfiguration(Some(sbt.internal.util.ManagedLogger@73afdee), Vector(Raw(ProjectResolver(inter-project, mapped: )), public: https://repo1.maven.org/maven2/, FileRepository(local, Patterns(ivyPatterns=Vector(/home/zyy/.ivy2/local/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(/home/zyy/.ivy2/local/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), FileConfiguration(true, None)), URLRepository(sbt-plugin-releases, Patterns(ivyPatterns=Vector(https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), URLRepository(typesafe-alt-ivy-releases, Patterns(ivyPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), sbt-maven-releases: https://repo.scala-sbt.org/scalasbt/maven-releases/, sbt-maven-snapshots: https://repo.scala-sbt.org/scalasbt/maven-snapshots/, URLRepository(typesafe-ivy-releases, Patterns(ivyPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), URLRepository(sbt-ivy-snapshots, Patterns(ivyPatterns=Vector(https://repo.scala-sbt.org/scalasbt/ivy-snapshots/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.scala-sbt.org/scalasbt/ivy-snapshots/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false)), 6, 100, Some(org.scala-lang), Some(2.12.15), Vector(/home/zyy/.sbt/boot/scala-2.12.15/lib/scala-xml_2.12.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-reflect.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-compiler.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-library.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/jline.jar), Vector(), Vector(), Vector(), true, false, Vector(), Vector(), Some(org.scala-lang), Some(2.12.16), Vector(), Vector(), None, Some(/home/zyy/.cache/coursier/v1), Some(/home/zyy/.ivy2), None, None, Vector(), Vector(), Vector((ModuleMatchers(Set(), Set(), true),Relaxed)), true, 0, Some(24 hours), Vector(Some(SHA-1), None), Vector(LocalUpdateChanging, LocalOnly, Update), false, false, false, Vector())) could not be retrieved
[error] 
[error] 	Note: Unresolved dependencies path:
[error] 	at sbt.internal.inc.ZincLMHelper$.update(ZincComponentCompiler.scala:308)
[error] 	at sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$3(ZincComponentCompiler.scala:264)
[error] 	at sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$3$adapted(ZincComponentCompiler.scala:257)
[error] 	at sbt.io.IO$.withTemporaryDirectory(IO.scala:490)
[error] 	at sbt.io.IO$.withTemporaryDirectory(IO.scala:500)
[error] 	at sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$2(ZincComponentCompiler.scala:257)
[error] 	at scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:23)
[error] 	at sbt.internal.util.BufferedLogger.bufferQuietly(BufferedLogger.scala:159)
[error] 	at sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$1(ZincComponentCompiler.scala:257)
[error] 	at sbt.internal.inc.ZincComponentCompiler.$anonfun$compileAndInstall$1$adapted(ZincComponentCompiler.scala:254)
[error] 	at sbt.io.IO$.withTemporaryDirectory(IO.scala:490)
[error] 	at sbt.io.IO$.withTemporaryDirectory(IO.scala:500)
[error] 	at sbt.internal.inc.ZincComponentCompiler.compileAndInstall(ZincComponentCompiler.scala:254)
[error] 	at sbt.internal.inc.ZincComponentCompiler.$anonfun$compiledBridgeJar$1(ZincComponentCompiler.scala:222)
[error] 	at sbt.internal.inc.IfMissing$Define.run(IfMissing.scala:19)
[error] 	at sbt.internal.inc.ZincComponentManager.createAndCache$1(ZincComponentManager.scala:51)
[error] 	at sbt.internal.inc.ZincComponentManager.$anonfun$files$3(ZincComponentManager.scala:62)
[error] 	at sbt.internal.inc.ZincComponentManager.getOrElse$1(ZincComponentManager.scala:43)
[error] 	at sbt.internal.inc.ZincComponentManager.$anonfun$files$2(ZincComponentManager.scala:62)
[error] 	at sbt.internal.inc.ZincComponentManager$$anon$1.call(ZincComponentManager.scala:91)
[error] 	at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:95)
[error] 	at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:80)
[error] 	at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:99)
[error] 	at xsbt.boot.Using$.withResource(Using.scala:10)
[error] 	at xsbt.boot.Using$.apply(Using.scala:9)
[error] 	at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:60)
[error] 	at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:50)
[error] 	at xsbt.boot.Locks$.apply0(Locks.scala:31)
[error] 	at xsbt.boot.Locks$.apply(Locks.scala:28)
[error] 	at sbt.internal.inc.ZincComponentManager.lock(ZincComponentManager.scala:91)
[error] 	at sbt.internal.inc.ZincComponentManager.$anonfun$lockSecondaryCache$1(ZincComponentManager.scala:88)
[error] 	at scala.Option.map(Option.scala:230)
[error] 	at sbt.internal.inc.ZincComponentManager.lockSecondaryCache(ZincComponentManager.scala:88)
[error] 	at sbt.internal.inc.ZincComponentManager.fromSecondary$1(ZincComponentManager.scala:60)
[error] 	at sbt.internal.inc.ZincComponentManager.$anonfun$files$6(ZincComponentManager.scala:66)
[error] 	at sbt.internal.inc.ZincComponentManager.getOrElse$1(ZincComponentManager.scala:43)
[error] 	at sbt.internal.inc.ZincComponentManager.$anonfun$files$5(ZincComponentManager.scala:66)
[error] 	at sbt.internal.inc.ZincComponentManager$$anon$1.call(ZincComponentManager.scala:91)
[error] 	at xsbt.boot.Locks$GlobalLock.withChannel$1(Locks.scala:95)
[error] 	at xsbt.boot.Locks$GlobalLock.xsbt$boot$Locks$GlobalLock$$withChannelRetries$1(Locks.scala:80)
[error] 	at xsbt.boot.Locks$GlobalLock$$anonfun$withFileLock$1.apply(Locks.scala:99)
[error] 	at xsbt.boot.Using$.withResource(Using.scala:10)
[error] 	at xsbt.boot.Using$.apply(Using.scala:9)
[error] 	at xsbt.boot.Locks$GlobalLock.ignoringDeadlockAvoided(Locks.scala:60)
[error] 	at xsbt.boot.Locks$GlobalLock.withLock(Locks.scala:50)
[error] 	at xsbt.boot.Locks$.apply0(Locks.scala:31)
[error] 	at xsbt.boot.Locks$.apply(Locks.scala:28)
[error] 	at sbt.internal.inc.ZincComponentManager.lock(ZincComponentManager.scala:91)
[error] 	at sbt.internal.inc.ZincComponentManager.lockLocalCache(ZincComponentManager.scala:84)
[error] 	at sbt.internal.inc.ZincComponentManager.files(ZincComponentManager.scala:66)
[error] 	at sbt.internal.inc.ZincComponentManager.file(ZincComponentManager.scala:72)
[error] 	at sbt.internal.inc.ZincComponentCompiler.compiledBridgeJar(ZincComponentCompiler.scala:222)
[error] 	at sbt.internal.inc.ZincComponentCompiler$ZincCompilerBridgeProvider.compiledBridge(ZincComponentCompiler.scala:63)
[error] 	at sbt.internal.inc.ZincComponentCompiler$ZincCompilerBridgeProvider.fetchCompiledBridge(ZincComponentCompiler.scala:70)
[error] 	at sbt.internal.inc.AnalyzingCompiler.getDualLoader(AnalyzingCompiler.scala:354)
[error] 	at sbt.internal.inc.AnalyzingCompiler.getCompilerLoader(AnalyzingCompiler.scala:343)
[error] 	at sbt.internal.inc.AnalyzingCompiler.compile(AnalyzingCompiler.scala:87)
[error] 	at sbt.internal.inc.MixedAnalyzingCompiler.$anonfun$compile$7(MixedAnalyzingCompiler.scala:192)
[error] 	at scala.runtime.java8.JFunction0$mcV$sp.apply(JFunction0$mcV$sp.java:23)
[error] 	at sbt.internal.inc.MixedAnalyzingCompiler.timed(MixedAnalyzingCompiler.scala:247)
[error] 	at sbt.internal.inc.MixedAnalyzingCompiler.$anonfun$compile$4(MixedAnalyzingCompiler.scala:182)
[error] 	at sbt.internal.inc.MixedAnalyzingCompiler.$anonfun$compile$4$adapted(MixedAnalyzingCompiler.scala:163)
[error] 	at sbt.internal.inc.JarUtils$.withPreviousJar(JarUtils.scala:239)
[error] 	at sbt.internal.inc.MixedAnalyzingCompiler.compileScala$1(MixedAnalyzingCompiler.scala:163)
[error] 	at sbt.internal.inc.MixedAnalyzingCompiler.compile(MixedAnalyzingCompiler.scala:210)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileInternal$1(IncrementalCompilerImpl.scala:528)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileInternal$1$adapted(IncrementalCompilerImpl.scala:528)
[error] 	at sbt.internal.inc.Incremental$.$anonfun$apply$5(Incremental.scala:177)
[error] 	at sbt.internal.inc.Incremental$.$anonfun$apply$5$adapted(Incremental.scala:175)
[error] 	at sbt.internal.inc.Incremental$$anon$2.run(Incremental.scala:461)
[error] 	at sbt.internal.inc.IncrementalCommon$CycleState.next(IncrementalCommon.scala:116)
[error] 	at sbt.internal.inc.IncrementalCommon$$anon$1.next(IncrementalCommon.scala:56)
[error] 	at sbt.internal.inc.IncrementalCommon$$anon$1.next(IncrementalCommon.scala:52)
[error] 	at sbt.internal.inc.IncrementalCommon.cycle(IncrementalCommon.scala:263)
[error] 	at sbt.internal.inc.Incremental$.$anonfun$incrementalCompile$8(Incremental.scala:416)
[error] 	at sbt.internal.inc.Incremental$.withClassfileManager(Incremental.scala:503)
[error] 	at sbt.internal.inc.Incremental$.incrementalCompile(Incremental.scala:403)
[error] 	at sbt.internal.inc.Incremental$.apply(Incremental.scala:169)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.compileInternal(IncrementalCompilerImpl.scala:528)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.$anonfun$compileIncrementally$1(IncrementalCompilerImpl.scala:482)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.handleCompilationError(IncrementalCompilerImpl.scala:332)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.compileIncrementally(IncrementalCompilerImpl.scala:420)
[error] 	at sbt.internal.inc.IncrementalCompilerImpl.compile(IncrementalCompilerImpl.scala:137)
[error] 	at sbt.Defaults$.compileIncrementalTaskImpl(Defaults.scala:2366)
[error] 	at sbt.Defaults$.$anonfun$compileIncrementalTask$2(Defaults.scala:2316)
[error] 	at sbt.internal.server.BspCompileTask$.$anonfun$compute$1(BspCompileTask.scala:30)
[error] 	at sbt.internal.io.Retry$.apply(Retry.scala:46)
[error] 	at sbt.internal.io.Retry$.apply(Retry.scala:28)
[error] 	at sbt.internal.io.Retry$.apply(Retry.scala:23)
[error] 	at sbt.internal.server.BspCompileTask$.compute(BspCompileTask.scala:30)
[error] 	at sbt.Defaults$.$anonfun$compileIncrementalTask$1(Defaults.scala:2314)
[error] 	at scala.Function1.$anonfun$compose$1(Function1.scala:49)
[error] 	at sbt.internal.util.$tilde$greater.$anonfun$$u2219$1(TypeFunctions.scala:62)
[error] 	at sbt.std.Transform$$anon$4.work(Transform.scala:68)
[error] 	at sbt.Execute.$anonfun$submit$2(Execute.scala:282)
[error] 	at sbt.internal.util.ErrorHandling$.wideConvert(ErrorHandling.scala:23)
[error] 	at sbt.Execute.work(Execute.scala:291)
[error] 	at sbt.Execute.$anonfun$submit$1(Execute.scala:282)
[error] 	at sbt.ConcurrentRestrictions$$anon$4.$anonfun$submitValid$1(ConcurrentRestrictions.scala:265)
[error] 	at sbt.CompletionService$$anon$2.call(CompletionService.scala:64)
[error] 	at java.util.concurrent.FutureTask.run(FutureTask.java:266)
[error] 	at java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:511)
[error] 	at java.util.concurrent.FutureTask.run(FutureTask.java:266)
[error] 	at java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1149)
[error] 	at java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:624)
[error] 	at java.lang.Thread.run(Thread.java:750)
[error] (Compile / compileIncremental) sbt.internal.inc.InvalidComponent: The compiler bridge sources CoursierModuleDescriptor(ModuleDescriptorConfiguration(false, None, org.scala-sbt.temp:temp-module-98ec89c315ed408f615fff2c4774286d17323b01:1.6.0:compile, ModuleInfo(temp-module-98ec89c315ed408f615fff2c4774286d17323b01, , None, None, Vector(), , None, None, Vector()), Vector(org.scala-sbt:compiler-bridge_2.12:1.6.0:compile), Vector(), Vector(), , Vector(compile, runtime, test, provided, optional), Some(compile), ConflictManager(latest-revision, *, *)),CoursierConfiguration(Some(sbt.internal.util.ManagedLogger@73afdee), Vector(Raw(ProjectResolver(inter-project, mapped: )), public: https://repo1.maven.org/maven2/, FileRepository(local, Patterns(ivyPatterns=Vector(/home/zyy/.ivy2/local/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(/home/zyy/.ivy2/local/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), FileConfiguration(true, None)), URLRepository(sbt-plugin-releases, Patterns(ivyPatterns=Vector(https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.scala-sbt.org/scalasbt/sbt-plugin-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), URLRepository(typesafe-alt-ivy-releases, Patterns(ivyPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organisation]/[module]/(scala_[scalaVersion]/)(sbt_[sbtVersion]/)([branch]/)[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), sbt-maven-releases: https://repo.scala-sbt.org/scalasbt/maven-releases/, sbt-maven-snapshots: https://repo.scala-sbt.org/scalasbt/maven-snapshots/, URLRepository(typesafe-ivy-releases, Patterns(ivyPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.typesafe.com/typesafe/ivy-releases/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false), URLRepository(sbt-ivy-snapshots, Patterns(ivyPatterns=Vector(https://repo.scala-sbt.org/scalasbt/ivy-snapshots/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), artifactPatterns=Vector(https://repo.scala-sbt.org/scalasbt/ivy-snapshots/[organization]/[module]/[revision]/[type]s/[artifact](-[classifier]).[ext]), isMavenCompatible=false, descriptorOptional=false, skipConsistencyCheck=false), false)), 6, 100, Some(org.scala-lang), Some(2.12.15), Vector(/home/zyy/.sbt/boot/scala-2.12.15/lib/scala-xml_2.12.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-reflect.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-compiler.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/scala-library.jar, /home/zyy/.sbt/boot/scala-2.12.15/lib/jline.jar), Vector(), Vector(), Vector(), true, false, Vector(), Vector(), Some(org.scala-lang), Some(2.12.16), Vector(), Vector(), None, Some(/home/zyy/.cache/coursier/v1), Some(/home/zyy/.ivy2), None, None, Vector(), Vector(), Vector((ModuleMatchers(Set(), Set(), true),Relaxed)), true, 0, Some(24 hours), Vector(Some(SHA-1), None), Vector(LocalUpdateChanging, LocalOnly, Update), false, false, false, Vector())) could not be retrieved.
[error] 
[error] 	Note: Unresolved dependencies path:
[error] Total time: 1 s, completed 2022-8-16 7:57:48

Cannot run simulator on windows

I am a windows 10 user and want to learn spinal hdl. I follow instructions in SpinalHDL doc and open this project with IDEA. I installed verilator in msys2 and run all the commands as the doc suggested. When I run "MyTopLevelSim.scala" for the first time with clion, I got test.vcd successfully. But when I click the run button again, errors occured. It told me cc1plus.exe doesn't exist, but actually I can find the program by the path that it told me.
I tried many ways to sovle this problem. I find that if I delete the file "VMyTopLevel__spinalWrapper.cpp" , I can get the wave file again. So I think it's maybe something wrong either in my computer or this porject.
Here is the build info
image
I can find the file by manual
image

Can't add local or git dependencies

I am attempting to copy the changes from SpinalTemplateSbtDependencies to my build.sbt in a project based on SpinalTemplateSbt. When I compile, I get the following error:

[warn]
[warn]  Note: Unresolved dependencies path:
[error] sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
[error]   Not found
[error]   Not found
[error]   not found: /home/nathan/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
[error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom
[error]         at lmcoursier.CoursierDependencyResolution.unresolvedWarningOrThrow(CoursierDependencyResolution.scala:345)
[error]         at lmcoursier.CoursierDependencyResolution.$anonfun$update$38(CoursierDependencyResolution.scala:314)
[error]         at scala.util.Either$LeftProjection.map(Either.scala:573)
[error]         at lmcoursier.CoursierDependencyResolution.update(CoursierDependencyResolution.scala:314)
[error]         at sbt.librarymanagement.DependencyResolution.update(DependencyResolution.scala:60)
[error]         at sbt.internal.LibraryManagement$.resolve$1(LibraryManagement.scala:59)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$12(LibraryManagement.scala:133)
[error]         at sbt.util.Tracked$.$anonfun$lastOutput$1(Tracked.scala:73)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$20(LibraryManagement.scala:146)
[error]         at scala.util.control.Exception$Catch.apply(Exception.scala:228)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$11(LibraryManagement.scala:146)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$11$adapted(LibraryManagement.scala:127)
[error]         at sbt.util.Tracked$.$anonfun$inputChangedW$1(Tracked.scala:219)
[error]         at sbt.internal.LibraryManagement$.cachedUpdate(LibraryManagement.scala:160)
[error]         at sbt.Classpaths$.$anonfun$updateTask0$1(Defaults.scala:3690)
[error]         at scala.Function1.$anonfun$compose$1(Function1.scala:49)
[error]         at sbt.internal.util.$tilde$greater.$anonfun$$u2219$1(TypeFunctions.scala:62)
[error]         at sbt.std.Transform$$anon$4.work(Transform.scala:68)
[error]         at sbt.Execute.$anonfun$submit$2(Execute.scala:282)
[error]         at sbt.internal.util.ErrorHandling$.wideConvert(ErrorHandling.scala:23)
[error]         at sbt.Execute.work(Execute.scala:291)
[error]         at sbt.Execute.$anonfun$submit$1(Execute.scala:282)
[error]         at sbt.ConcurrentRestrictions$$anon$4.$anonfun$submitValid$1(ConcurrentRestrictions.scala:265)
[error]         at sbt.CompletionService$$anon$2.call(CompletionService.scala:64)
[error]         at java.util.concurrent.FutureTask.run(FutureTask.java:266)
[error]         at java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:511)
[error]         at java.util.concurrent.FutureTask.run(FutureTask.java:266)
[error]         at java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1149)
[error]         at java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:624)
[error]         at java.lang.Thread.run(Thread.java:750)
[error] (update) sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
[error]   Not found
[error]   Not found
[error]   not found: /home/nathan/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
[error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom
[error] Total time: 1 s, completed Aug 12, 2023 5:07:58 PM

I'm able to reproduce this on a fresh project created from this template with the following changes:

diff --git a/build.sbt b/build.sbt
index 0ced33a..b208157 100644
--- a/build.sbt
+++ b/build.sbt
@@ -11,6 +11,8 @@ lazy val projectname = (project in file("."))
   .settings(
     Compile / scalaSource := baseDirectory.value / "hw" / "spinal",
     libraryDependencies ++= Seq(spinalCore, spinalLib, spinalIdslPlugin)
-  )
+  ).dependsOn(vexRiscv)
+
+lazy val vexRiscv = RootProject(uri("git://github.com/SpinalHDL/VexRiscv.git"))

 fork := true

Steps:

  1. git clone https://github.com/SpinalHDL/SpinalTemplateSbt
  2. Apply the diff above
  3. cd SpinalTemplateSbt
  4. sbt compile

I've also tried pointing it at a local copy of VexRiscv and got the same error.

I'm not really sure if this is an issue with the template, VexRiscv, sbt, or something else. I can move this somewhere else if necessary.

Build error when including VexRiscv

Description

I have a project based on this template, but including VexRiscv repository according to instructions in this project causes project build error. Running sbt compile also cause error. Project stores in windows directory and it is accessed from WSL. The build error is thrown regardless of the presence of third-party projects/libraries from SpinalHDL

System

Ubuntu 22.04 WSL 2 in Microsoft Windows 10 Pro Version 22H2 (OS Build 19045.3086)

Tools

Visual Studio Code

Version 1.80.0 (user setup)
Commit 660393deaaa6d1996740ff4880f1bad43768c814
Date 2023-07-04T15:06:02.407Z
Electron 22.3.14
ElectronBuildId 21893604
Chromium 108.0.5359.215
Node.js 16.17.1
V8 10.8.168.25-electron.0
OS Windows_NT x64 10.0.19045

Scala Metals plugin for VSCode

Published 4/2/2018, 12:52:28
Last released 7/11/2023, 12:53:47
Last updated 4/24/2023, 08:50:45
Identifier scalameta.metals

Sbt

Version 1.8.0

build.sbt File

ThisBuild / version := "1.0"
ThisBuild / scalaVersion := "2.12.16"
ThisBuild / organization := "org.example"

val spinalVersion = "1.8.1"
val spinalCore = "com.github.spinalhdl" %% "spinalhdl-core" % spinalVersion
val spinalLib = "com.github.spinalhdl" %% "spinalhdl-lib" % spinalVersion
val scalaCsv = "com.github.tototoshi" %% "scala-csv" % "1.3.10"
val playJson = "com.typesafe.play" %% "play-json" % "2.8.2"
val breezeNlp = "org.scalanlp" %% "breeze" % "2.1.0"
val spinalIdslPlugin = compilerPlugin("com.github.spinalhdl" %% "spinalhdl-idsl-plugin" % spinalVersion)

lazy val spinalip = (project in file("."))
  .settings(
    Compile / scalaSource := baseDirectory.value / "hw" / "spinal",
    libraryDependencies ++= Seq(
      spinalCore,
      spinalLib,
      spinalIdslPlugin,
      scalaCsv,
      playJson,
      // vexRiscV
    )
    // libraryDependencies += "com.github.tototoshi" %% "scala-csv" % "1.3.10"
  ).dependsOn(vexRiscv)

//For dependancies localy on your computer : 
// lazy val vexRiscv = RootProject(file("./ext/VexRiscv"))

// For dependancies on a git : 
lazy val vexRiscv = RootProject(uri("https://github.com/SpinalHDL/VexRiscv.git"))

fork := true

Metals output

2023.07.13 12:40:17 INFO  running '/usr/lib/jvm/java-11-openjdk-amd64/bin/java -Djline.terminal=jline.UnsupportedTerminal -Dsbt.log.noformat=true -Dfile.encoding=UTF-8 -jar /tmp/metals9889981340083921928/sbt-launch.jar -Dbloop.export-jar-classifiers=sources bloopInstall'
2023.07.13 12:40:18 INFO  [info] welcome to sbt 1.8.0 (Ubuntu Java 11.0.18)
2023.07.13 12:40:18 INFO  [info] loading settings for project spinalipgenerator-build-build-build from metals.sbt ...
2023.07.13 12:40:20 INFO  [info] loading project definition from [REDACTED]/spinalipgenerator/project/project/project
2023.07.13 12:40:22 INFO  [info] loading settings for project spinalipgenerator-build-build from metals.sbt ...
2023.07.13 12:40:22 INFO  [info] loading project definition from [REDACTED]/spinalipgenerator/project/project
2023.07.13 12:40:24 INFO  [success] Generated .bloop/spinalipgenerator-build-build.json
2023.07.13 12:40:24 INFO  [success] Total time: 2 s, completed Jul 13, 2023, 12:40:24 PM
2023.07.13 12:40:25 INFO  [info] loading settings for project spinalipgenerator-build from metals.sbt,plugins.sbt ...
2023.07.13 12:40:25 INFO  [info] loading project definition from [REDACTED]/spinalipgenerator/project
2023.07.13 12:40:25 INFO  [success] Generated .bloop/spinalipgenerator-build.json
2023.07.13 12:40:27 INFO  [success] Total time: 1 s, completed Jul 13, 2023, 12:40:27 PM
2023.07.13 12:40:30 INFO  [info] loading settings for project spinalip from build.sbt ...
2023.07.13 12:40:30 INFO  [info] loading settings for project vexriscv-build from plugins.sbt ...
2023.07.13 12:40:30 INFO  [info] loading project definition from [WSL HOME]/.sbt/1.0/staging/ec12fef62a78515b9b38/vexriscv/project
2023.07.13 12:40:30 INFO  [info] loading settings for project root from build.sbt ...
2023.07.13 12:40:30 INFO  [info] set current project to spinalip (in build file:[REDACTED]/spinalipgenerator/)
2023.07.13 12:40:33 INFO  [warn] 
2023.07.13 12:40:33 INFO  [warn] 	Note: Unresolved dependencies path:
2023.07.13 12:40:33 INFO  [error] Couldn't run bloopGenerate for spinalip. Cause:
2023.07.13 12:40:33 INFO  [error] Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),updateClassifiers)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Select(updateClassifiers), Zero),classifiersModule)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),externalDependencyClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),managedClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),bloopInternalClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error] Couldn't run bloopGenerate for spinalip-test. Cause:
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),externalDependencyClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),managedClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error] Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),updateClassifiers)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Select(updateClassifiers), Zero),classifiersModule)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),bloopInternalClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),externalDependencyClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),managedClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),scalaInstance)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(runtime)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),bloopInternalClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(runtime)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),scalacOptions)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),externalDependencyClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),managedClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(runtime)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),bloopInternalClasspath)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(test)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(runtime)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Select(ConfigKey(compile)), Zero, Zero),classpathConfiguration)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None)), directCause=None), Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),scalaInstance)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task(_)), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(Incomplete(node=Some(Task((tags: Map(Tag(update) -> 1, Tag(network) -> 1), taskDefinitionKey: ScopedKey(Scope(Select(ProjectRef(file:[REDACTED]/spinalipgenerator/,spinalip)), Zero, Zero, Zero),update)))), tpe=Error, msg=None, causes=List(), directCause=Some(sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   Not found
2023.07.13 12:40:33 INFO  [error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
2023.07.13 12:40:33 INFO  [error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom))), directCause=None)), directCause=None)), directCause=None)), directCause=None)
2023.07.13 12:40:33 INFO  [warn] Removed stale [REDACTED]/spinalipgenerator/.bloop/spinalip-test.json
2023.07.13 12:40:33 INFO  [warn] Removed stale [REDACTED]/spinalipgenerator/.bloop/spinalip.json
2023.07.13 12:40:33 INFO  [success] Total time: 2 s, completed Jul 13, 2023, 12:40:34 PM
2023.07.13 12:40:34 INFO  time: ran 'sbt bloopInstall' in 16s
2023.07.13 12:40:34 INFO  Disconnecting from Bloop session...
2023.07.13 12:40:34 INFO  Shut down connection with build server.
2023.07.13 12:40:34 INFO  Shut down connection with build server.
2023.07.13 12:40:34 INFO  Shut down connection with build server.
2023.07.13 12:40:34 INFO  Attempting to connect to the build server...
2023.07.13 12:40:34 INFO  Bloop uses /usr/lib/jvm/java-11-openjdk-amd64 defined at [WSL HOME]/.bloop/bloop.json
2023.07.13 12:40:34 INFO  tracing is disabled for protocol BSP, to enable tracing of incoming and outgoing JSON messages create an empty file at [REDACTED]/spinalipgenerator/.metals/bsp.trace.json or [WSL HOME]/.cache/metals/bsp.trace.json
2023.07.13 12:40:34 INFO  Attempting to connect to the build server...
2023.07.13 12:40:34 INFO  Bloop uses /usr/lib/jvm/java-11-openjdk-amd64 defined at [WSL HOME]/.bloop/bloop.json
2023.07.13 12:40:34 INFO  Attempting to connect to the build server...
2023.07.13 12:40:34 INFO  Bloop uses /usr/lib/jvm/java-11-openjdk-amd64 defined at [WSL HOME]/.bloop/bloop.json
2023.07.13 12:40:34 INFO  tracing is disabled for protocol BSP, to enable tracing of incoming and outgoing JSON messages create an empty file at [REDACTED]/spinalipgenerator/project/.metals/bsp.trace.json or [WSL HOME]/.cache/metals/bsp.trace.json
2023.07.13 12:40:35 INFO  tracing is disabled for protocol BSP, to enable tracing of incoming and outgoing JSON messages create an empty file at [REDACTED]/spinalipgenerator/project/project/.metals/bsp.trace.json or [WSL HOME]/.cache/metals/bsp.trace.json
2023.07.13 12:40:34 INFO  time: Connected to build server in 0.42s
2023.07.13 12:40:34 INFO  Connected to Build server: Bloop v1.5.6
2023.07.13 12:40:34 ERROR Empty build targets. Expected at least one build target identifier.
2023.07.13 12:40:34 ERROR Empty build targets. Expected at least one build target identifier.
2023.07.13 12:40:34 ERROR Empty build targets. Expected at least one build target identifier.
2023.07.13 12:40:34 ERROR Empty build targets. Expected at least one build target identifier.
2023.07.13 12:40:34 INFO  time: Imported build in 0.28s
2023.07.13 12:40:38 INFO  time: indexed workspace in 2.52s
2023.07.13 12:40:38 WARN  no build target for: [REDACTED]/spinalipgenerator/hw/spinal/dsplib/Complex.scala
2023.07.13 12:40:38 WARN  no build target for: [REDACTED]/spinalipgenerator/hw/spinal/dsplib/experimental/CICFilter.scala
2023.07.13 12:40:38 WARN  no build target for: [REDACTED]/spinalipgenerator/hw/spinal/dsplib/fir/HalfBandFilter.scala
2023.07.13 12:40:38 WARN  no build target for: [REDACTED]/spinalipgenerator/hw/spinal/dsplib/experimental/SineLutGenerator.scala
2023.07.13 12:40:38 WARN  no build target for: [REDACTED]/spinalipgenerator/hw/spinal/dsplib/fir/MAC.scala
2023.07.13 12:40:39 INFO  skipping build import with status 'Installed'

sbt compile output

[info] welcome to sbt 1.8.0 (Ubuntu Java 11.0.18)
[info] loading settings for project spinalipgenerator-build-build-build from metals.sbt ...
[info] loading project definition from [REDACTED]/spinalipgenerator/project/project/project
[info] loading settings for project spinalipgenerator-build-build from metals.sbt ...
[info] loading project definition from [REDACTED]/spinalipgenerator/project/project
[success] Generated .bloop/spinalipgenerator-build-build.json
[success] Total time: 1 s, completed Jul 13, 2023, 12:55:39 PM
[info] loading settings for project spinalipgenerator-build from metals.sbt,plugins.sbt ...
[info] loading project definition from [REDACTED]/spinalipgenerator/project
[success] Generated .bloop/spinalipgenerator-build.json
[success] Total time: 1 s, completed Jul 13, 2023, 12:55:41 PM
[info] loading settings for project spinalip from build.sbt ...
[info] loading settings for project vexriscv-build from plugins.sbt ...
[info] loading project definition from [WSL HOME]/.sbt/1.0/staging/ec12fef62a78515b9b38/vexriscv/project
[info] loading settings for project root from build.sbt ...
[info] set current project to spinalip (in build file:[REDACTED]/spinalipgenerator/)
[info] Executing in batch mode. For better performance use sbt's shell
[info] Updating
[info] Resolved  dependencies
[warn]
[warn]  Note: Unresolved dependencies path:
[error] sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
[error]   Not found
[error]   Not found
[error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
[error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom
[error]         at lmcoursier.CoursierDependencyResolution.unresolvedWarningOrThrow(CoursierDependencyResolution.scala:344)
[error]         at lmcoursier.CoursierDependencyResolution.$anonfun$update$38(CoursierDependencyResolution.scala:313)
[error]         at scala.util.Either$LeftProjection.map(Either.scala:573)
[error]         at lmcoursier.CoursierDependencyResolution.update(CoursierDependencyResolution.scala:313)
[error]         at sbt.librarymanagement.DependencyResolution.update(DependencyResolution.scala:60)
[error]         at sbt.internal.LibraryManagement$.resolve$1(LibraryManagement.scala:59)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$12(LibraryManagement.scala:133)
[error]         at sbt.util.Tracked$.$anonfun$lastOutput$1(Tracked.scala:73)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$20(LibraryManagement.scala:146)
[error]         at scala.util.control.Exception$Catch.apply(Exception.scala:228)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$11(LibraryManagement.scala:146)
[error]         at sbt.internal.LibraryManagement$.$anonfun$cachedUpdate$11$adapted(LibraryManagement.scala:127)
[error]         at sbt.util.Tracked$.$anonfun$inputChangedW$1(Tracked.scala:219)
[error]         at sbt.internal.LibraryManagement$.cachedUpdate(LibraryManagement.scala:160)
[error]         at sbt.Classpaths$.$anonfun$updateTask0$1(Defaults.scala:3687)
[error]         at scala.Function1.$anonfun$compose$1(Function1.scala:49)
[error]         at sbt.internal.util.$tilde$greater.$anonfun$$u2219$1(TypeFunctions.scala:62)
[error]         at sbt.std.Transform$$anon$4.work(Transform.scala:68)
[error]         at sbt.Execute.$anonfun$submit$2(Execute.scala:282)
[error]         at sbt.internal.util.ErrorHandling$.wideConvert(ErrorHandling.scala:23)
[error]         at sbt.Execute.work(Execute.scala:291)
[error]         at sbt.Execute.$anonfun$submit$1(Execute.scala:282)
[error]         at sbt.ConcurrentRestrictions$$anon$4.$anonfun$submitValid$1(ConcurrentRestrictions.scala:265)
[error]         at sbt.CompletionService$$anon$2.call(CompletionService.scala:64)
[error]         at java.base/java.util.concurrent.FutureTask.run(FutureTask.java:264)
[error]         at java.base/java.util.concurrent.Executors$RunnableAdapter.call(Executors.java:515)
[error]         at java.base/java.util.concurrent.FutureTask.run(FutureTask.java:264)
[error]         at java.base/java.util.concurrent.ThreadPoolExecutor.runWorker(ThreadPoolExecutor.java:1128)
[error]         at java.base/java.util.concurrent.ThreadPoolExecutor$Worker.run(ThreadPoolExecutor.java:628)
[error]         at java.base/java.lang.Thread.run(Thread.java:829)
[error] (update) sbt.librarymanagement.ResolveException: Error downloading com.github.spinalhdl:vexriscv_2.12:2.0.0
[error]   Not found
[error]   Not found
[error]   not found: [WSL HOME]/.ivy2/localcom.github.spinalhdl/vexriscv_2.12/2.0.0/ivys/ivy.xml
[error]   not found: https://repo1.maven.org/maven2/com/github/spinalhdl/vexriscv_2.12/2.0.0/vexriscv_2.12-2.0.0.pom
[error] Total time: 2 s, completed Jul 13, 2023, 12:55:46 PM

sbt run fail "error: not found: value EclipseKeys"

I am running on Fedora 29, and Java 1.8 is the default. Full message:

[aj@fedaura SpinalTemplateSbt]$ sbt "run-main mylib.MyTopLevelVerilog"
[info] Loading project definition from /home/aj/learning/spinal_hdl/SpinalTemplateSbt/project
/home/aj/learning/spinal_hdl/SpinalTemplateSbt/build.sbt:7: error: not found: value EclipseKeys
EclipseKeys.withSource := true
^
[error] Type error in expression
Project loading failed: (r)etry, (q)uit, (l)ast, or (i)gnore? i
[warn] Ignoring load failure: no project loaded.
[error] Expected ID character
[error] Not a valid command: run-main
[error] run-main mylib.MyTopLevelVerilog
[error]         ^

What could be the reason for this failure ?

SYSTEM_LOGICAL_PROCESSOR_INFORMATION_EX Unmapped relationship: 7 Error in WIndows 11

This is the error I get in windows 11
On windows 10 it works well.

[info] [Progress] at 0.641 : Elaborate components
[error] Exception in thread "main" java.lang.IllegalStateException: Unmapped relationship: 7
[error] at com.sun.jna.platform.win32.WinNT$SYSTEM_LOGICAL_PROCESSOR_INFORMATION_EX.fromPointer(WinNT.java:2999)
[error] at com.sun.jna.platform.win32.Kernel32Util.getLogicalProcessorInformationEx(Kernel32Util.java:715)
[error] at oshi.hardware.platform.windows.WindowsCentralProcessor.getLogicalProcessorInformationEx(WindowsCentralProcessor.java:168
[error] at oshi.hardware.platform.windows.WindowsCentralProcessor.initProcessorCounts(WindowsCentralProcessor.java:158)
[error] at oshi.hardware.common.AbstractCentralProcessor.(AbstractCentralProcessor.java:73)
[error] at oshi.hardware.platform.windows.WindowsCentralProcessor.(WindowsCentralProcessor.java:77)
[error] at oshi.hardware.platform.windows.WindowsHardwareAbstractionLayer.createProcessor(WindowsHardwareAbstractionLayer.java:60)
[error] at oshi.util.Memoizer$1.get(Memoizer.java:87)
[error] at oshi.hardware.common.AbstractHardwareAbstractionLayer.getProcessor(AbstractHardwareAbstractionLayer.java:66)
[error] at spinal.sim.SimManager$.liftedTree1$1(SimManager.scala:73)
[error] at spinal.sim.SimManager$.cpuCount$lzycompute(SimManager.scala:71)
[error] at spinal.sim.SimManager$.cpuCount(SimManager.scala:70)
[error] at spinal.sim.SimManager$.newCpuAffinity(SimManager.scala:83)

sbt run fails

Hi,

I'm on debian unstable, if I install scala and have sbt installed from the suggested repository, I get the follow issue:

git clone https://github.com/SpinalHDL/SpinalTemplateSbt.git
Cloning into 'SpinalTemplateSbt'...
remote: Enumerating objects: 3, done.
remote: Counting objects: 100% (3/3), done.
remote: Compressing objects: 100% (3/3), done.
remote: Total 182 (delta 0), reused 1 (delta 0), pack-reused 179
Receiving objects: 100% (182/182), 19.30 KiB | 6.43 MiB/s, done.
Resolving deltas: 100% (80/80), done.

cd SpinalTemplateSbt/

sbt run
Getting org.scala-sbt sbt 0.13.16  (this may take some time)...
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/sbt/0.13.16/jars/sbt.jar ...
        [SUCCESSFUL ] org.scala-sbt#sbt;0.13.16!sbt.jar (1698ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/scala-library/2.10.6/scala-library-2.10.6.jar ...
        [SUCCESSFUL ] org.scala-lang#scala-library;2.10.6!scala-library.jar (1465ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/main/0.13.16/jars/main.jar ...
        [SUCCESSFUL ] org.scala-sbt#main;0.13.16!main.jar (2438ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-interface/0.13.16/jars/compiler-interface.jar ...
        [SUCCESSFUL ] org.scala-sbt#compiler-interface;0.13.16!compiler-interface.jar (1758ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/actions/0.13.16/jars/actions.jar ...
        [SUCCESSFUL ] org.scala-sbt#actions;0.13.16!actions.jar (1793ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/main-settings/0.13.16/jars/main-settings.jar ...
        [SUCCESSFUL ] org.scala-sbt#main-settings;0.13.16!main-settings.jar (1806ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/interface/0.13.16/jars/interface.jar ...
        [SUCCESSFUL ] org.scala-sbt#interface;0.13.16!interface.jar (2516ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/io/0.13.16/jars/io.jar ...
        [SUCCESSFUL ] org.scala-sbt#io;0.13.16!io.jar (1755ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/ivy/0.13.16/jars/ivy.jar ...
        [SUCCESSFUL ] org.scala-sbt#ivy;0.13.16!ivy.jar (2093ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/logging/0.13.16/jars/logging.jar ...
        [SUCCESSFUL ] org.scala-sbt#logging;0.13.16!logging.jar (1738ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/logic/0.13.16/jars/logic.jar ...
        [SUCCESSFUL ] org.scala-sbt#logic;0.13.16!logic.jar (3086ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/process/0.13.16/jars/process.jar ...
        [SUCCESSFUL ] org.scala-sbt#process;0.13.16!process.jar (1693ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/run/0.13.16/jars/run.jar ...
        [SUCCESSFUL ] org.scala-sbt#run;0.13.16!run.jar (1790ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/command/0.13.16/jars/command.jar ...
        [SUCCESSFUL ] org.scala-sbt#command;0.13.16!command.jar (2018ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/launcher-interface/1.0.1/launcher-interface-1.0.1.jar ...
        [SUCCESSFUL ] org.scala-sbt#launcher-interface;1.0.1!launcher-interface.jar (108ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/classpath/0.13.16/jars/classpath.jar ...
        [SUCCESSFUL ] org.scala-sbt#classpath;0.13.16!classpath.jar (1693ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/completion/0.13.16/jars/completion.jar ...
        [SUCCESSFUL ] org.scala-sbt#completion;0.13.16!completion.jar (1745ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/api/0.13.16/jars/api.jar ...
        [SUCCESSFUL ] org.scala-sbt#api;0.13.16!api.jar (1909ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-integration/0.13.16/jars/compiler-integration.jar ...
        [SUCCESSFUL ] org.scala-sbt#compiler-integration;0.13.16!compiler-integration.jar (1722ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compiler-ivy-integration/0.13.16/jars/compiler-ivy-integration.jar ...
        [SUCCESSFUL ] org.scala-sbt#compiler-ivy-integration;0.13.16!compiler-ivy-integration.jar (1763ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/relation/0.13.16/jars/relation.jar ...
        [SUCCESSFUL ] org.scala-sbt#relation;0.13.16!relation.jar (1739ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/task-system/0.13.16/jars/task-system.jar ...
        [SUCCESSFUL ] org.scala-sbt#task-system;0.13.16!task-system.jar (1760ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/tasks/0.13.16/jars/tasks.jar ...
        [SUCCESSFUL ] org.scala-sbt#tasks;0.13.16!tasks.jar (1691ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/tracking/0.13.16/jars/tracking.jar ...
        [SUCCESSFUL ] org.scala-sbt#tracking;0.13.16!tracking.jar (1692ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/testing/0.13.16/jars/testing.jar ...
        [SUCCESSFUL ] org.scala-sbt#testing;0.13.16!testing.jar (2738ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/scala-compiler/2.10.6/scala-compiler-2.10.6.jar ...
        [SUCCESSFUL ] org.scala-lang#scala-compiler;2.10.6!scala-compiler.jar (3239ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/scala-reflect/2.10.6/scala-reflect-2.10.6.jar ...
        [SUCCESSFUL ] org.scala-lang#scala-reflect;2.10.6!scala-reflect.jar (692ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/control/0.13.16/jars/control.jar ...
        [SUCCESSFUL ] org.scala-sbt#control;0.13.16!control.jar (1724ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/collections/0.13.16/jars/collections.jar ...
        [SUCCESSFUL ] org.scala-sbt#collections;0.13.16!collections.jar (2002ms)
downloading https://repo1.maven.org/maven2/jline/jline/2.14.4/jline-2.14.4.jar ...
        [SUCCESSFUL ] jline#jline;2.14.4!jline.jar (99ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/classfile/0.13.16/jars/classfile.jar ...
        [SUCCESSFUL ] org.scala-sbt#classfile;0.13.16!classfile.jar (1694ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/incremental-compiler/0.13.16/jars/incremental-compiler.jar ...
        [SUCCESSFUL ] org.scala-sbt#incremental-compiler;0.13.16!incremental-compiler.jar (1810ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/compile/0.13.16/jars/compile.jar ...
        [SUCCESSFUL ] org.scala-sbt#compile;0.13.16!compile.jar (1733ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/persist/0.13.16/jars/persist.jar ...
        [SUCCESSFUL ] org.scala-sbt#persist;0.13.16!persist.jar (1840ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-tools.sbinary/sbinary_2.10/0.4.2/jars/sbinary_2.10.jar ...
        [SUCCESSFUL ] org.scala-tools.sbinary#sbinary_2.10;0.4.2!sbinary_2.10.jar (1674ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/cross/0.13.16/jars/cross.jar ...
        [SUCCESSFUL ] org.scala-sbt#cross;0.13.16!cross.jar (1656ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/ivy/ivy/2.3.0-sbt-48dd0744422128446aee9ac31aa356ee203cc9f4/ivy-2.3.0-sbt-48dd0744422128446aee9ac31aa356ee203cc9f4.jar ...
        [SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-48dd0744422128446aee9ac31aa356ee203cc9f4!ivy.jar (328ms)
downloading https://repo1.maven.org/maven2/com/jcraft/jsch/0.1.50/jsch-0.1.50.jar ...
        [SUCCESSFUL ] com.jcraft#jsch;0.1.50!jsch.jar (97ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/serialization_2.10/0.1.2/serialization_2.10-0.1.2.jar ...
        [SUCCESSFUL ] org.scala-sbt#serialization_2.10;0.1.2!serialization_2.10.jar (113ms)
downloading https://repo1.maven.org/maven2/org/scala-lang/modules/scala-pickling_2.10/0.10.1/scala-pickling_2.10-0.10.1.jar ...
        [SUCCESSFUL ] org.scala-lang.modules#scala-pickling_2.10;0.10.1!scala-pickling_2.10.jar (210ms)
downloading https://repo1.maven.org/maven2/org/json4s/json4s-core_2.10/3.2.10/json4s-core_2.10-3.2.10.jar ...
        [SUCCESSFUL ] org.json4s#json4s-core_2.10;3.2.10!json4s-core_2.10.jar (162ms)
downloading https://repo1.maven.org/maven2/org/spire-math/jawn-parser_2.10/0.6.0/jawn-parser_2.10-0.6.0.jar ...
        [SUCCESSFUL ] org.spire-math#jawn-parser_2.10;0.6.0!jawn-parser_2.10.jar (64ms)
downloading https://repo1.maven.org/maven2/org/spire-math/json4s-support_2.10/0.6.0/json4s-support_2.10-0.6.0.jar ...
        [SUCCESSFUL ] org.spire-math#json4s-support_2.10;0.6.0!json4s-support_2.10.jar (49ms)
downloading https://repo1.maven.org/maven2/org/scalamacros/quasiquotes_2.10/2.0.1/quasiquotes_2.10-2.0.1.jar ...
        [SUCCESSFUL ] org.scalamacros#quasiquotes_2.10;2.0.1!quasiquotes_2.10.jar (191ms)
downloading https://repo1.maven.org/maven2/org/json4s/json4s-ast_2.10/3.2.10/json4s-ast_2.10-3.2.10.jar ...
        [SUCCESSFUL ] org.json4s#json4s-ast_2.10;3.2.10!json4s-ast_2.10.jar (68ms)
downloading https://repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.6/paranamer-2.6.jar ...
        [SUCCESSFUL ] com.thoughtworks.paranamer#paranamer;2.6!paranamer.jar (66ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/cache/0.13.16/jars/cache.jar ...
        [SUCCESSFUL ] org.scala-sbt#cache;0.13.16!cache.jar (1766ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/test-agent/0.13.16/jars/test-agent.jar ...
        [SUCCESSFUL ] org.scala-sbt#test-agent;0.13.16!test-agent.jar (1687ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar ...
        [SUCCESSFUL ] org.scala-sbt#test-interface;1.0!test-interface.jar (49ms)
downloading https://repo.typesafe.com/typesafe/ivy-releases/org.scala-sbt/apply-macro/0.13.16/jars/apply-macro.jar ...
        [SUCCESSFUL ] org.scala-sbt#apply-macro;0.13.16!apply-macro.jar (1684ms)
downloading https://repo1.maven.org/maven2/org/scala-sbt/template-resolver/0.1/template-resolver-0.1.jar ...
        [SUCCESSFUL ] org.scala-sbt#template-resolver;0.1!template-resolver.jar (46ms)
:: retrieving :: org.scala-sbt#boot-app
        confs: [default]
        49 artifacts copied, 0 already retrieved (17616kB/59ms)
Getting Scala 2.10.6 (for sbt)...
downloading https://repo1.maven.org/maven2/org/scala-lang/jline/2.10.6/jline-2.10.6.jar ...
        [SUCCESSFUL ] org.scala-lang#jline;2.10.6!jline.jar (79ms)
downloading https://repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.4/jansi-1.4.jar ...
        [SUCCESSFUL ] org.fusesource.jansi#jansi;1.4!jansi.jar (67ms)
:: retrieving :: org.scala-sbt#boot-scala
        confs: [default]
        5 artifacts copied, 0 already retrieved (24494kB/39ms)
error: error while loading package, Missing dependency 'object java.lang.Object in compiler mirror', required by /home/matt/.sbt/boot/scala-2.10.6/lib/scala-library.jar(scala/package.class)
error: error while loading package, Missing dependency 'object java.lang.Object in compiler mirror', required by /home/matt/.sbt/boot/scala-2.10.6/lib/scala-library.jar(scala/runtime/package.class)
scala.reflect.internal.MissingRequirementError: object java.lang.Object in compiler mirror not found.
        at scala.reflect.internal.MissingRequirementError$.signal(MissingRequirementError.scala:16)
        at scala.reflect.internal.MissingRequirementError$.notFound(MissingRequirementError.scala:17)
        at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:48)
        at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:40)
        at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:40)
        at scala.reflect.internal.Mirrors$RootsBase.getModuleOrClass(Mirrors.scala:61)
        at scala.reflect.internal.Mirrors$RootsBase.getClassByName(Mirrors.scala:99)
        at scala.reflect.internal.Mirrors$RootsBase.getRequiredClass(Mirrors.scala:102)
        at scala.reflect.internal.Definitions$DefinitionsClass.ObjectClass$lzycompute(Definitions.scala:264)
        at scala.reflect.internal.Definitions$DefinitionsClass.ObjectClass(Definitions.scala:264)
        at scala.reflect.internal.Definitions$DefinitionsClass.AnyRefClass$lzycompute(Definitions.scala:263)
        at scala.reflect.internal.Definitions$DefinitionsClass.AnyRefClass(Definitions.scala:263)
        at scala.reflect.internal.Definitions$DefinitionsClass.specialPolyClass(Definitions.scala:1120)
        at scala.reflect.internal.Definitions$DefinitionsClass.RepeatedParamClass$lzycompute(Definitions.scala:407)
        at scala.reflect.internal.Definitions$DefinitionsClass.RepeatedParamClass(Definitions.scala:407)
        at scala.reflect.internal.Definitions$DefinitionsClass.syntheticCoreClasses$lzycompute(Definitions.scala:1154)
        at scala.reflect.internal.Definitions$DefinitionsClass.syntheticCoreClasses(Definitions.scala:1152)
        at scala.reflect.internal.Definitions$DefinitionsClass.symbolsNotPresentInBytecode$lzycompute(Definitions.scala:1196)
        at scala.reflect.internal.Definitions$DefinitionsClass.symbolsNotPresentInBytecode(Definitions.scala:1196)
        at scala.reflect.internal.Definitions$DefinitionsClass.init(Definitions.scala:1261)
        at scala.tools.nsc.Global$Run.<init>(Global.scala:1290)
       at sbt.compiler.Eval$$anon$1.<init>(Eval.scala:141)
        at sbt.compiler.Eval.run$lzycompute$1(Eval.scala:141)
        at sbt.compiler.Eval.run$1(Eval.scala:141)
        at sbt.compiler.Eval.unlinkAll$1(Eval.scala:144)
        at sbt.compiler.Eval.evalCommon(Eval.scala:153)
        at sbt.compiler.Eval.eval(Eval.scala:96)
        at sbt.EvaluateConfigurations$.evaluateDslEntry(EvaluateConfigurations.scala:177)
        at sbt.EvaluateConfigurations$$anonfun$9.apply(EvaluateConfigurations.scala:117)
        at sbt.EvaluateConfigurations$$anonfun$9.apply(EvaluateConfigurations.scala:115)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
        at scala.collection.immutable.List.foreach(List.scala:318)
        at scala.collection.TraversableLike$class.map(TraversableLike.scala:244)
        at scala.collection.AbstractTraversable.map(Traversable.scala:105)
        at sbt.EvaluateConfigurations$.evaluateSbtFile(EvaluateConfigurations.scala:115)
        at sbt.Load$.sbt$Load$$loadSettingsFile$1(Load.scala:775)
        at sbt.Load$$anonfun$sbt$Load$$memoLoadSettingsFile$1$1.apply(Load.scala:781)
        at sbt.Load$$anonfun$sbt$Load$$memoLoadSettingsFile$1$1.apply(Load.scala:780)
        at scala.collection.MapLike$class.getOrElse(MapLike.scala:128)
        at scala.collection.AbstractMap.getOrElse(Map.scala:58)
        at sbt.Load$.sbt$Load$$memoLoadSettingsFile$1(Load.scala:780)
        at sbt.Load$$anonfun$loadFiles$1$2.apply(Load.scala:788)
        at sbt.Load$$anonfun$loadFiles$1$2.apply(Load.scala:788)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
        at scala.collection.TraversableLike$$anonfun$map$1.apply(TraversableLike.scala:244)
        at scala.collection.mutable.ResizableArray$class.foreach(ResizableArray.scala:59)
        at scala.collection.mutable.ArrayBuffer.foreach(ArrayBuffer.scala:47)
        at scala.collection.TraversableLike$class.map(TraversableLike.scala:244)
        at scala.collection.AbstractTraversable.map(Traversable.scala:105)
        at sbt.Load$.loadFiles$1(Load.scala:788)
        at sbt.Load$.discoverProjects(Load.scala:799)
        at sbt.Load$.discover$1(Load.scala:585)
        at sbt.Load$.sbt$Load$$loadTransitive(Load.scala:633)
        at sbt.Load$$anonfun$loadUnit$1.sbt$Load$$anonfun$$loadProjects$1(Load.scala:482)
        at sbt.Load$$anonfun$loadUnit$1$$anonfun$40.apply(Load.scala:485)
        at sbt.Load$$anonfun$loadUnit$1$$anonfun$40.apply(Load.scala:485)
        at sbt.Load$.timed(Load.scala:1025)
        at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:485)
        at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:459)
        at sbt.Load$.timed(Load.scala:1025)
        at sbt.Load$.loadUnit(Load.scala:459)
        at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:311)
        at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:310)
        at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:91)
        at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:90)
        at sbt.BuildLoader.apply(BuildLoader.scala:140)
        at sbt.Load$.loadAll(Load.scala:365)
        at sbt.Load$.loadURI(Load.scala:320)
        at sbt.Load$.load(Load.scala:316)
        at sbt.Load$.load(Load.scala:305)
        at sbt.Load$$anonfun$4.apply(Load.scala:146)
        at sbt.Load$$anonfun$4.apply(Load.scala:146)
        at sbt.Load$.timed(Load.scala:1025)
        at sbt.Load$.apply(Load.scala:146)
        at sbt.Load$.buildPluginDefinition(Load.scala:886)
        at sbt.Load$.buildPlugins(Load.scala:852)
        at sbt.Load$.plugins(Load.scala:840)
        at sbt.Load$$anonfun$loadUnit$1$$anonfun$34.apply(Load.scala:465)
        at sbt.Load$$anonfun$loadUnit$1$$anonfun$34.apply(Load.scala:465)
        at sbt.Load$.timed(Load.scala:1025)
        at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:464)
        at sbt.Load$$anonfun$loadUnit$1.apply(Load.scala:459)
        at sbt.Load$.timed(Load.scala:1025)
        at sbt.Load$.loadUnit(Load.scala:459)
        at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:311)
        at sbt.Load$$anonfun$25$$anonfun$apply$14.apply(Load.scala:310)
        at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:91)
        at sbt.BuildLoader$$anonfun$componentLoader$1$$anonfun$apply$4$$anonfun$apply$5$$anonfun$apply$6.apply(BuildLoader.scala:90)
        at sbt.BuildLoader.apply(BuildLoader.scala:140)
        at sbt.Load$.loadAll(Load.scala:365)
        at sbt.Load$.loadURI(Load.scala:320)
        at sbt.Load$.load(Load.scala:316)
        at sbt.Load$.load(Load.scala:305)
        at sbt.Load$$anonfun$4.apply(Load.scala:146)
        at sbt.Load$$anonfun$4.apply(Load.scala:146)
        at sbt.Load$.timed(Load.scala:1025)
        at sbt.Load$.apply(Load.scala:146)
        at sbt.Load$.defaultLoad(Load.scala:39)
        at sbt.BuiltinCommands$.liftedTree1$1(Main.scala:503)
        at sbt.BuiltinCommands$.doLoadProject(Main.scala:503)
        at sbt.BuiltinCommands$$anonfun$loadProjectImpl$2.apply(Main.scala:495)
        at sbt.BuiltinCommands$$anonfun$loadProjectImpl$2.apply(Main.scala:495)
        at sbt.Command$$anonfun$applyEffect$1$$anonfun$apply$2.apply(Command.scala:59)
        at sbt.Command$$anonfun$applyEffect$1$$anonfun$apply$2.apply(Command.scala:59)
        at sbt.Command$$anonfun$applyEffect$2$$anonfun$apply$3.apply(Command.scala:61)
        at sbt.Command$$anonfun$applyEffect$2$$anonfun$apply$3.apply(Command.scala:61)
        at sbt.Command$.process(Command.scala:93)
        at sbt.MainLoop$$anonfun$1$$anonfun$apply$1.apply(MainLoop.scala:96)
        at sbt.MainLoop$$anonfun$1$$anonfun$apply$1.apply(MainLoop.scala:96)
        at sbt.State$$anon$1.runCmd$1(State.scala:183)
        at sbt.Command$.process(Command.scala:93)
        at sbt.MainLoop$$anonfun$1$$anonfun$apply$1.apply(MainLoop.scala:96)
        at sbt.MainLoop$$anonfun$1$$anonfun$apply$1.apply(MainLoop.scala:96)
        at sbt.State$$anon$1.runCmd$1(State.scala:183)
        at sbt.State$$anon$1.process(State.scala:187)
        at sbt.MainLoop$$anonfun$1.apply(MainLoop.scala:96)
        at sbt.MainLoop$$anonfun$1.apply(MainLoop.scala:96)
        at sbt.ErrorHandling$.wideConvert(ErrorHandling.scala:17)
        at sbt.MainLoop$.next(MainLoop.scala:96)
        at sbt.MainLoop$.run(MainLoop.scala:89)
        at sbt.MainLoop$$anonfun$runWithNewLog$1.apply(MainLoop.scala:68)
        at sbt.MainLoop$$anonfun$runWithNewLog$1.apply(MainLoop.scala:63)
        at sbt.Using.apply(Using.scala:24)
        at sbt.MainLoop$.runWithNewLog(MainLoop.scala:63)
        at sbt.MainLoop$.runAndClearLast(MainLoop.scala:46)
        at sbt.MainLoop$.runLoggedLoop(MainLoop.scala:30)
        at sbt.MainLoop$.runLogged(MainLoop.scala:22)
        at sbt.StandardMain$.runManaged(Main.scala:61)
        at sbt.xMain.run(Main.scala:35)
        at xsbt.boot.Launch$$anonfun$run$1.apply(Launch.scala:109)
        at xsbt.boot.Launch$.withContextLoader(Launch.scala:128)
        at xsbt.boot.Launch$.run(Launch.scala:109)
        at xsbt.boot.Launch$$anonfun$apply$1.apply(Launch.scala:35)
        at xsbt.boot.Launch$.launch(Launch.scala:117)
        at xsbt.boot.Launch$.apply(Launch.scala:18)
        at xsbt.boot.Boot$.runImpl(Boot.scala:56)
        at xsbt.boot.Boot$.main(Boot.scala:18)
        at xsbt.boot.Boot.main(Boot.scala)
[error] scala.reflect.internal.MissingRequirementError: object java.lang.Object in compiler mirror not found.
[error] Use 'last' for the full log.

I'm not sure where to start on how to identify what's gone wrong.

Thanks,

Matt

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.