Git Product home page Git Product logo

fehlerfabrik's People

Contributors

kant avatar rcameron93 avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar

fehlerfabrik's Issues

Botzinger repeats CV input

Hi! Does CV input for repeating in Botzinger work fine or Im doing something wrong? Time CV input reacts perfectly as I thought but feeding repeats input gives me no changes at all. Thank You in advance for any help!

Monte step probability knob tooltip percentages are incorrect

The step probability percentage values displayed in the tooltips for the step probability knobs of Monte are incorrect. With or without a cable plugged into the associated step probability CV input jack, for example at the maximum value the tooltip says 1% but it is actually 100% probability that a trigger will be generated. For another example, at midpoint (12 o'clock) the tooltip says 0.5% but it is actually 50% probability that a trigger will be generated. It appears that all step percentage values are displayed as the actual percentage value divided by 100. Except for this minor detail this is an excellent module!

Invalid tag in plugin.json

FehlerFabrik] Issues found in `plugin.json`:

Planck: invalid module tags: effects
-- Valid tags are defined in https://raw.githubusercontent.com/VCVRack/Rack/v1/src/tag.cpp

Random Clock: voltage

Hi, thanks for your modules!

Could you please add a setting in the menu for the Random Clock module Luigi, that will allow for setting a higher output voltage.

As it is now the incoming 10 volt clock signal is capped at 5 volt, a choice to have it output at 10 volt would be useful.

Screenshot 2021-02-15 at 21 34 27

Tag latest release

Could you please add a git tag or GitHub Release for the latest stable release (2.0.2)? Thanks :)

Psi op: it's little brother module?

Hi,

would be great if you'd add another 'dinky' little module to your collection ;) Seems like the most of the code is already there anyways...

Thanks!

Q: PSI-OP Possible to make sound identical each trigger?

hi,
very great module,
while playing around with making percussion sounds i recognized that the sound differs a bit on each trigger - often alternating.
this can be made transparent if e.g. a reverb is behind.
sometimes i'd like to have an identical sound, is this possible? e.g. that a "hihat" does not sound like a metronom ....
thx.

feature request: add mod index to Psi Op

Cool modules! Having fun with them.

I suppose this is intentional, but there doesn't seem to be any want to control the amount of modulation on Psi Op aside from the release time for the modulator. Ratio and algorithm have an effect, but, basically, you're getting a very pronounced click whatever you do.

Artwork license question

Just a quick question, as I don't see it mentioned anywhere.
Your project is licensed under GPL-3.0-or-later, but does that include the graphics too? Or are those supposed to be in a different license?
Thanks!

Dep folder is missing...

The dep folder is missing in order to compile yours sources...I have used the dep of Fundamental...

Will not compile on Windows 10 Pro 64bits

4-mingw32/bin/ld.exe: C:\msys64\home\caeco\modules\fehlerfabrik/src/Rasoir.cpp:48: undefined reference to `src_delete'
collect2.exe: error: ld returned 1 exit status
make: *** [C:/msys64/Rack-SDK/compile.mk:59 : plugin.dll] Erreur 1

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.