Git Product home page Git Product logo

Comments (4)

marmeladapk avatar marmeladapk commented on September 22, 2024

Ok, I tested this on 4th Fastino and this one is able to sustain such a sequence on all channels for an extended period of time (tens of minutes) before the output becomes garbage.

from artiq.

nkrackow avatar nkrackow commented on September 22, 2024

Hi, (un-)fortunately I was not able to reproduce this. I tried with two Fastino 1.2.1, different EEM ports on a Kasli-SoC and with/without log2_width = 5. The output was always a stable sine on all channels (only ch0 for log2_width = 5 ofc).

The last blue trace looks suspiciously like the runaway of a CIC if the poles and zeros didn't perfectly cancel out, which happens if you somehow get an extra bit into an accumulator.

Did the red LED (indicating communication problems with Kasli) on Fastino ever turn on?

from artiq.

marmeladapk avatar marmeladapk commented on September 22, 2024

Red LED was off in all cases. It seems that it was the case of a quirky hw, maybe one that barely passed tests and only in longer sequences exhibited problems. I think we should wait for Fastino v1.3 and see if supply sequencing helps with intermittent issues like this.

from artiq.

nkrackow avatar nkrackow commented on September 22, 2024

While trying to reproduce your issue I also ran into some problems with the communication with Kasli. Sometimes I could turn on the red LED just by touching the negative output of a Fastino channel. Longer DMA sequences or your experiment never worked. It seems to have fixed itself after the solar storm.. 🤷

from artiq.

Related Issues (20)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.